Forth и другие саморасширяющиеся системы программирования Locations of visitors to this page
Текущее время: Чт мар 28, 2024 18:20

...
Google Search
Forth-FAQ Spy Grafic

Часовой пояс: UTC + 3 часа [ Летнее время ]




Начать новую тему Ответить на тему  [ Сообщений: 408 ]  На страницу Пред.  1, 2, 3, 4, 5 ... 28  След.
Автор Сообщение
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Вт янв 25, 2022 23:51 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Hishnik писал(а):
По сути это демонстрация технологии. Хорошо, когда форт-процессор помогает управлять чем-то... а чем? Показать, что там и дисплей, и кнопки, и индикаторы - это неплохо, но это должно стать первым шагом цепочки рассуждений "и вот если процессор может реализовать такие очевидные вещи, его можно начать адаптировать под ....". А так это напрашивается на встречный вопрос "ну и почему так дорого и сложно?".

>> Хорошо, когда форт-процессор помогает управлять чем-то... а чем?
Ну и зачем он тогда распинался?
Цели то разложены, идеи прописаны.
Как и у всякой идеи, время решит.

Хищник. Я вижу вот тут, только яркий пример забалтывания.
И не вижу твоих девайсов, которые... а что, собственно, ты практического можешь противопоставить J1???

Можно ссылки, возможно я ошибаюсь.

_________________
Сотник. SotnikSTO@mail.ru


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Ср янв 26, 2022 00:48 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Sotnik писал(а):
Цели то разложены, идеи прописаны.
Как и у всякой идеи, время решит.

Ну и сколько времени должно пройти? Это не тот уровень фундаментальности, чтобы вокруг подобного проекта собирались какие-то последователи и вникали.

Sotnik писал(а):
возможно я ошибаюсь.

Да.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Ср янв 26, 2022 18:02 
Не в сети
Аватара пользователя

Зарегистрирован: Ср июл 03, 2019 11:10
Сообщения: 463
Откуда: Москва
Благодарил (а): 57 раз.
Поблагодарили: 22 раз.
Мдэээ, а у меня вообще xilinx ise 12.3 стоит, раздобыл 14.7, но пока не ковырял. И ПЛИС почти такая же под рукой: xc3s500e в таком же корпусе vqg100, но назначение пинов, естественно, абсолютно другое... :)

:) Еще такая версия хардкорного 4-битного набора команд родилась:
0 1 2 3 push0 call ret jz @ ! swap pick nand xor *+ shift
здесь *+ - умножение с накоплением (в ПЛИС такая штука есть), впрочем и обычным + можно обойтись, а умножение сделать в столбик... :)
0 1 2 3 сдвигают вершину на влево на 2 бита, а затем добавляют к ней число от 0 до 3
shift в зависимости от знака крутит вершину стека влево или вправо, но может здесь лучше rotate
Все команды строго 4-битные, адреса/смещения/и т.д. берутся из стека данных, для 8-биток, думаю, вполне сойдет... :)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Чт янв 27, 2022 03:18 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
ISE 14.7 сегодня есть в виде OracleVM, запускается и под Win10 (там внутри Linux). xc3s500 уже довольно толстенький чип (относительно третьего семейства), можно и 32 разряда пробовать. Ультимативно компактный процессор - в целом интересно. Если получится режим "манипулятора значениями в портах", будет этаким интерфейсным сопроцессором (каким был когда-то PIC).


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Пт янв 28, 2022 14:15 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Hishnik писал(а):
Sotnik писал(а):
Хищник. Я вижу вот тут, только яркий пример забалтывания.
И не вижу твоих девайсов, которые... а что, собственно, ты практического можешь противопоставить J1???
возможно я ошибаюсь.
Да.

Я не ошибаюсь. :) viewtopic.php?p=49364#p49364
Я даже поблагодарил за такой старт темы.
Но...
Сделан вброс.
И...
И всё. Развития нет. Нулевой результат. И так с десятки лет.
Хороводы вокруг гроба с Фортом. :(

_________________
Сотник. SotnikSTO@mail.ru


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Пт янв 28, 2022 15:12 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Sotnik писал(а):
Я не ошибаюсь.

Ошибка попросту в том, что у меня нет ни малейшего стремления соревноваться с кем-то во внимании к моим ссылкам в интернете. Процессоры могут быть реальны постольку, поскольку они работают при практическом приложении к ним сил, а не потому, что выложены на гитхаб.
Sotnik писал(а):
Развития нет. Нулевой результат.

Развитие и результат делаем мы сами. Если постоянно мечтать о каком-то абстрактном "форт-сообществе", которое будет регулярно радовать очередной ссылкой "а вот еще Форт блеснул", результата не будет. А вот дистанцирование от этого самого сообщества - будет. Если кто-то считает себя причастным, надо брать и делать. Чем меньше сообщество, тем заметнее вклад каждого.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Пт янв 28, 2022 15:59 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Hishnik писал(а):
Развитие и результат делаем мы сами. Если постоянно мечтать о каком-то абстрактном "форт-сообществе", которое будет регулярно радовать очередной ссылкой "а вот еще Форт блеснул", результата не будет. А вот дистанцирование от этого самого сообщества - будет. Если кто-то считает себя причастным, надо брать и делать. Чем меньше сообщество, тем заметнее вклад каждого.
Мы не абстрактное сообщество.
А очередных ссылок, даже на единственный камень, как это делают ВСЕ бренды, у нас нет.
Все где-то там, для чего-то там, вот такое-вот.

А насчёт "брать и делать" - на базе каких образцов и примеров?
Абстракция в возможностях реализации - этого хватает.
Мы можем, у нас для этого всё есть, "а вот применить ЭТО некуда?".

Я же не про "сферического коня в вакууме", а про хоть один НАШ КИТ (а не за $70-200), и с поддержкой .
viewtopic.php?p=48858#p48858

Так трудно сначала обсудить ПРОСТОЙ вариант?
Вроде как идут трэды, но не привязаны к реальности.

И начало было многообещающим...
viewtopic.php?p=49364#p49364

_________________
Сотник. SotnikSTO@mail.ru


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Пт янв 28, 2022 22:01 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Sotnik писал(а):
А очередных ссылок, даже на единственный камень, как это делают ВСЕ бренды, у нас нет.

Подставляем вместо "Форт" "сортировка пузырьком". Это же не бренд. У него нет единственной реализации алгоритма. Это просто прием программирования. Почему же Форт должен быть именно брендом? Это вполне подходит для того, чтобы рассматривать в качестве практического приема решения задач - как на уровне ПО, так и на уровне процессоров.

Sotnik писал(а):
А насчёт "брать и делать" - на базе каких образцов и примеров?

Вот этого, в начале темы. Оно получается, честно-честно :)

Sotnik писал(а):
Мы можем, у нас для этого всё есть, "а вот применить ЭТО некуда?".


Именно так, надо практические проекты искать. Хоть автокормушку для кота. Из АН Белоруссии, кстати, прошлым летом уже обращались насчет теплиц (за что уважаю белорусов - сколько уже примеров, когда очень уважаемые ученые докладывали о вполне практических, "приземленных" вещах - ну вот явно же умеют люди руками работать).

Sotnik писал(а):
а про хоть один НАШ КИТ (а не за $70-200), и с поддержкой


Нет, это совсем другое. Кит можно взять любой разумный, от 1 тыс. ячеек. Когда он серийный, он дешевый. Зачем конкурировать в заведомо проигрышных условиях и не по фокусному направлению?

Sotnik писал(а):
Так трудно сначала обсудить ПРОСТОЙ вариант?


Там даже в простом варианте на одном из завершающих слайдов масса вариантов. И очень вопросительный котик. Там компромиссы-компромиссы кругом. А умозрительно они не разрешаются, надо погружаться и выбирать устраивающий вариант по принципу "вот это нормально работает и удобно программируется".


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Пт янв 28, 2022 22:12 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Total Vacuum писал(а):
0 1 2 3 push0 call ret jz @ ! swap pick nand xor *+ shift


В качестве идей.
1. Не хватает nop. Обычно надо.
2. nand xor - ээ, ну да. Но сразу наталкивает на изящную идею - logic. Т.е. "побитная операция". Какая - определяется системным регистром.

Итого - добавляем nop, logic, setlogic (установку типа операции) убираем nand xor (точно), ну и наверное shift тоже можно засунуть в "логику".

Можно уже начать делать :)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Пт янв 28, 2022 22:45 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Hishnik писал(а):
Можно уже начать делать :)
Все замерли в ожидании! :)
Но что-то это напоминает, и становится тревожно на душе... :shock:

_________________
Сотник. SotnikSTO@mail.ru


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Сб янв 29, 2022 02:26 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
А чего замирать-то? Если уж прямо совсем никак, я могу начать потихоньку такое писать, разжевывая каждый шаг. И кстати, оно будет вполне в соответствии с презентацией. Можно прямо брать по кускам и переписывать в соответствии с предложенной системой команд.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Сб янв 29, 2022 03:08 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Hishnik писал(а):
А чего замирать-то? Если уж прямо совсем никак, я могу начать потихоньку такое писать, разжевывая каждый шаг. И кстати, оно будет вполне в соответствии с презентацией. Можно прямо брать по кускам и переписывать в соответствии с предложенной системой команд.
"Огласите ВЕСЬ список!" (с) ;)

Вообще-то надо общие ТТХ прикинуть, какие ожидаемые параметры камня и девайса.

_________________
Сотник. SotnikSTO@mail.ru


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Сб янв 29, 2022 03:22 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Sotnik писал(а):
Вообще-то надо общие ТТХ прикинуть, какие ожидаемые параметры камня и девайса.

Ну какие ТТХ? Это на полчаса-час работы в САПР. Можно для освоения такое себе позволить сделать?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Сб янв 29, 2022 14:18 
Не в сети

Зарегистрирован: Пн янв 07, 2013 22:40
Сообщения: 2141
Благодарил (а): 8 раз.
Поблагодарили: 74 раз.
Hishnik писал(а):
Если постоянно мечтать о каком-то абстрактном "форт-сообществе", которое будет регулярно радовать очередной ссылкой "а вот еще Форт блеснул", результата не будет. А вот дистанцирование от этого самого сообщества - будет.

Озвучена "главная" причина текущей малоактивности местного Форт форума?! :)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Пн янв 31, 2022 12:56 
Не в сети
Аватара пользователя

Зарегистрирован: Ср июл 03, 2019 11:10
Сообщения: 463
Откуда: Москва
Благодарил (а): 57 раз.
Поблагодарили: 22 раз.
Hishnik писал(а):
ISE 14.7 сегодня есть в виде OracleVM
А где такое чудо раздобыть можно?

Hishnik писал(а):
1. Не хватает nop. Обычно надо.
Вот, кстати, зачем nop? Для выравнивания? Так тут вроде строго 4 бита все команды предполагаются... Для конвейера или для - не побоюсь этого слова - параллельного выполнения команд? Вполне возможно ошибаюсь, но мне кажется, что скомпилированная форт-программа практически на 100% состоит из call, поэтому плохо конвейеризуется и распараллеливается... Впрочем, в предлагаемом наборе команд основной процент кода съедят литералы...

Hishnik писал(а):
2. nand xor - ээ, ну да. Но сразу наталкивает на изящную идею - logic. Т.е. "побитная операция". Какая - определяется системным регистром.
Итого - добавляем nop, logic, setlogic (установку типа операции) убираем nand xor (точно), ну и наверное shift тоже можно засунуть в "логику".
Можно и без setlogic, если брать код команды не из системного регистра, а из стека. Кроме того, можно не только логику таким способом обыграть, но и арифметику (+ - * /), стек (dup swap drop pick), сдвиги (lshift, rshift, arshift, rol) и т.д. и т.п. Но тогда реализация становится более сложной. А хочется примитивно-простой набор команд одинаковой длины, но при этом достаточный и не слишком неудобный для написания любых программ... :)
Кстати, уже понятно, что ассемблер для такого набора команд получается либо простым, но генерирует при этом неоптимальный код (все адреса длинные), либо сложным многопроходным (с укорачиванием адресов).

Hishnik писал(а):
Это на полчаса-час работы в САПР.
Hishnik писал(а):
Можно уже начать делать :)
Это профессионалу на полчаса-час... :D А выпускнику церковно-приходской прежде, чем править исходник-синтезировать-прошивать-править исходник-синтезировать-и т.д., лучше в чем-то на берегу потренироваться... Нашел у себя дистрибутив какого-то древнего ActiveHDL, поставил, но от него каким-то духом 90-x повеяло... Есть ли в природе какие-то более современные инструменты для тренировки? Желательно понимающие verilog...


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
Показать сообщения за:  Поле сортировки  
Начать новую тему Ответить на тему  [ Сообщений: 408 ]  На страницу Пред.  1, 2, 3, 4, 5 ... 28  След.

Часовой пояс: UTC + 3 часа [ Летнее время ]


Кто сейчас на конференции

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 18


Вы не можете начинать темы
Вы можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

cron
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
phpBB сборка от FladeX // Русская поддержка phpBB