Forth и другие саморасширяющиеся системы программирования Locations of visitors to this page
Текущее время: Чт мар 28, 2024 13:04

...
Google Search
Forth-FAQ Spy Grafic

Часовой пояс: UTC + 3 часа [ Летнее время ]




Начать новую тему Ответить на тему  [ Сообщений: 38 ]  На страницу Пред.  1, 2, 3
Автор Сообщение
 Заголовок сообщения: Re: Forth -> VHDL
СообщениеДобавлено: Ср май 28, 2014 20:23 
Хищник писал(а):
другого-то подхода в индустрии нет, а то, что есть, находится в состоянии "недалеко ушли от нуля"...
Ну, кто на что учился...
Цитата:
Сашка Привалов не виноват, виноват Хунта, который последнее время из принципа интересуется только такими задачами, для которых доказано отсутствие решения.


Вернуться к началу
  
Ответить с цитатой  
 Заголовок сообщения: Re: Forth -> VHDL
СообщениеДобавлено: Ср май 28, 2014 20:46 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
gudleifr писал(а):
Ну, кто на что учился...

Из тех продуктов, с которыми я хорошо знаком, один разработан в Оксфорде, другой - в Беркли. С которым не работал - мировой лидер в области САПР Mentor Graphics. Еще есть российская разработка подобного плана, выполненная в коллективе академика Каляева. Я так понимаю, что Вы лучше их разбираетесь в вопросе? :)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Forth -> VHDL
СообщениеДобавлено: Ср май 28, 2014 21:20 
Хищник писал(а):
Я так понимаю, что Вы лучше их разбираетесь в вопросе?
Я не про "вопрос" *), а про вынужденность "индустриальных решений".

*) - честно говоря, не понял, что Вы имеете в виду. Вопрос моделирования? Или перевода с одного языка на другой? Или повышения Форум-имиджа? Или общей пиписькометрии? Или еще что-то?
Исходный-то "постфиксный" вопрос к трудам уважаемых академиков явно отношения не имеет.


Вернуться к началу
  
Ответить с цитатой  
 Заголовок сообщения: Re: Forth -> VHDL
СообщениеДобавлено: Вс июн 01, 2014 15:55 
Не в сети
Moderator
Moderator
Аватара пользователя

Зарегистрирован: Ср дек 06, 2006 09:23
Сообщения: 660
Благодарил (а): 7 раз.
Поблагодарили: 25 раз.
:< Господа, давайте уже тему не засорять тему. Для разборок есть отдельные топики.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Forth -> VHDL
СообщениеДобавлено: Пн июн 02, 2014 00:18 
Не в сети

Зарегистрирован: Сб май 06, 2006 12:01
Сообщения: 959
Откуда: Украина, Харьков
Благодарил (а): 2 раз.
Поблагодарили: 7 раз.
А в каких случаях есть преимущество по параметру кол-во-операций/цена у аппаратной реализации алгоритма на FPGA по сравнению с программами на универсальном процессоре (x86, iCore) ?
  • в ценовом диапазоне до $500 за систему(подключил к питанию, Ethernet/вставил в компьютер и работаешь)
  • если до $700, но как универсальный компьютер с ускорителем(подключить клавиатуру, мышь, монитор, колонки/наушники, микрофон, вебкамеру, WiFi, флешки, (e)SATA)
    • то же, + видео x264 1920x1080p
    • там еще ресурсы хоть останутся?
  • если алгоритм не очень распараллеливается (мало параллельных ветвей алгоритма)
  • если алгоритм распараллеливается (много параллельных ветвей алгоритма)

К чему такие вопросы? - Хочу определиться, стОит ли овчинка выделки для бюджетных(или домашних) систем и в каких случаях использование FPGA дает больший выигрыш.

_________________
With best wishes, in4.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Forth -> VHDL
СообщениеДобавлено: Пн июн 02, 2014 00:39 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
in4 писал(а):
если до $700, но как универсальный компьютер с ускорителем(подключить клавиатуру, мышь, монитор, колонки/наушники, микрофон, вебкамеру, WiFi, флешки, (e)SATA)

http://xillybus.com/xillinux
Но зачем? ПЛИС не предназначены для конкурирования с домашними системами массового использования. На дешевых платах можно легко получить эквивалент 10 ГГц процессора (вообще ПЛИС добираются до единиц тера-...), но для этого алгоритм должен быть параллельным. Как только параллельный алгоритм становится устоявшимся и массово востребованным, он получает реализацию в виде ASIC/ASSP. Видео - очевидно востребованная задача, поэтому видеоускоритель будет заведомо дешевле ПЛИС с сопоставимой производительностью. Если для ПЛИС нет подходящей (оригинальной по алгоритму и при этом параллельной) задачи, то она и не покажет преимуществ. Кроме параллельности, впрочем, может быть еще реакция в реальном времени (причем порядка десятков наносекунд) или большое количество выводов, не вмещающихся в стандартные интерфейсы PC.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Forth -> VHDL
СообщениеДобавлено: Пн июн 02, 2014 01:08 
Не в сети

Зарегистрирован: Сб май 06, 2006 12:01
Сообщения: 959
Откуда: Украина, Харьков
Благодарил (а): 2 раз.
Поблагодарили: 7 раз.
Хищник писал(а):
Но зачем? ПЛИС не предназначены для конкурирования с домашними системами массового использования.
А никто и не заставляет конкурировать.
Идеальный вариант - компактное место для экспериментов с дизайном процессоров и ОС для них. Чтоб в одной(ну или в разных но компактных) системе была возможность работать с интернет, среда разработки(с достаточно мощной графикой) и возможность проверить результат. Ну и возможность результата работать автономно.

_________________
With best wishes, in4.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Forth -> VHDL
СообщениеДобавлено: Пн июн 02, 2014 01:14 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Для этого подходит Zynq-7000. http://zedboard.org Но разрабатывать проект для ПЛИС можно только на PC.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
Показать сообщения за:  Поле сортировки  
Начать новую тему Ответить на тему  [ Сообщений: 38 ]  На страницу Пред.  1, 2, 3

Часовой пояс: UTC + 3 часа [ Летнее время ]


Кто сейчас на конференции

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 15


Вы не можете начинать темы
Вы можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
phpBB сборка от FladeX // Русская поддержка phpBB