Forth и другие саморасширяющиеся системы программирования Locations of visitors to this page
Текущее время: Ср апр 17, 2024 03:51

...
Google Search
Forth-FAQ Spy Grafic

Часовой пояс: UTC + 3 часа [ Летнее время ]




Начать новую тему Ответить на тему  [ Сообщений: 86 ]  На страницу Пред.  1, 2, 3, 4, 5, 6  След.
Автор Сообщение
 Заголовок сообщения:
СообщениеДобавлено: Сб окт 17, 2009 20:30 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
WingLion писал(а):
Откуда: St.Petersburg
Поезд есть Минск-Ленинград.
Цитата:
Sotnik писал(а):
BGA256 получается четырёхрядный.

от типа корпуса количество ядер фактически не зависит, от него зависит только, сколько сигналов вывести можно.
Четырёхрядный, т.е. с четырёх сторон по четыре ряда! :) :) :) Сколько ядер к тебе по ночам приходит? :)
- У Вас есть многозадачные ОС?
- Да.
- Дайте мне, пожалуйста, трёхзадачную. :)
Цитата:
А ядер можно много упаковать. Вот, прямо сейчас 5-ядерный вариант компилирую

EP3C40Q240? А про XC3S50AN-144 что скажешь?
У неё цена $5 и свободный EEPROM из 128K*8 остаётся 64K*8.
Трёхядерный :) туда запихать возможно?
И сколько ОЗУ ещё останется?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Сб окт 17, 2009 20:40 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Цитата:
Сие есть unreal, если делать общую память, потому что каждое ядро должно иметь доступ к памяти, 32 млн. раз в секунду, т.е. память должна обеспечить 288 миллионов операций чтения/записи за секунду, что возможно только на дорогих чипах. Циклон по даташиту не даст более 250MHz, реально же оказывается итого ниже. Сейчас - 170-180MHz, т.е. 180 MIPS - это предел по скорости памяти, который перепрыгнуть не так просто.
Теперь понятно почему многоядерники, в основном, дружат только с соседями. :(
Тогда может сделать некое общее окно в 256*8 для общего обмена и с ожиданиями если занято, а ядра пусть молотят каждый свой сегмент ОЗУ?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Сб окт 17, 2009 20:49 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Корпус у XC3S50AN TQG144 некий подозрительный, не могу найти размеры. По ссылкам в даташите некая дурацкая таблица вываливается...

_________________
Сотник. SotnikSTO@mail.ru


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Сб окт 17, 2009 21:10 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Sotnik писал(а):
Поезд есть Минск-Ленинград.

Он, наверно в прошлое тысячелетие ездит ;)

Sotnik писал(а):
Четырёхрядный, т.е. с четырёх сторон по четыре ряда!


BGA256 - это массив 16x16 шариковых ножек BOLL GREED ARRAY. С шагом ~1мм. из центра выводы можно только по нескольким слоям вытащить.

Sotnik писал(а):
А про XC3S50AN-144 что скажешь?

Я про них сказать ничего не могу. Еще не работал с Xilinx.

Sotnik писал(а):
Трёхядерный Smile туда запихать возможно?


Наверняка. Надо Хищника попросить откомпилить код из первого поста этой темы для этого чипа и посмотреть, что получится. ;)


Sotnik писал(а):
Теперь понятно почему многоядерники, в основном, дружат только с соседями. Sad
Тогда может сделать некое общее окно в 256*8 для общего обмена и с ожиданиями если занято, а ядра пусть молотят каждый свой сегмент ОЗУ?


Если ожидание по несколько тактов при таких обращениях не пугает, то можно.

п.с. A пятиядерник пока не жилец ;(

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Сб окт 17, 2009 21:45 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
WingLion писал(а):
Sotnik писал(а):
Поезд есть Минск-Ленинград.
Он, наверно в прошлое тысячелетие ездит ;)
А область Ленинградская? Или уже переименовали?
Цитата:
Sotnik писал(а):
Четырёхрядный, т.е. с четырёх сторон по четыре ряда!

BGA256 - это массив 16x16 шариковых ножек BOLL GREED ARRAY. С шагом ~1мм. из центра выводы можно только по нескольким слоям вытащить.
Всё верно, нашёл таблицу (Altera Device Package Information Data Sheet) 144-Pin Micro FineLine Ball-Grid Array (MBGA) - вот он четырёх рядный. 0,3 пин и 0,5 между центрами. Такое вытянуть и в двух слоях можно. Но нам не надо. :)

Получается TQG144 = TQFP144 или нет? Что они там намудрили?
Sotnik писал(а):
Трёхядерный Smile туда запихать возможно?
Наверняка. Надо Хищника попросить откомпилить код из первого поста этой темы для этого чипа и посмотреть, что получится. ;)

Цитата:
п.с. A пятиядерник пока не жилец ;(
Что так? Может клизму ему? :)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Сб окт 17, 2009 21:59 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
2Хищник: Глянь в сторону XC3S50AN.
- что туда можно запихать, и какие ТТХ получатся для, например, 4-х ядер, (если влезут)
- сколько он у тебя, как представителя, стоит,
- и про мегафункцию на AVR - получится?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Сб окт 17, 2009 23:10 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Sotnik писал(а):
Получается TQG144 = TQFP144 или нет? Что они там намудрили?

G - бессвинцовая технология. Размеры те же.
Sotnik писал(а):
2Хищник: Глянь в сторону XC3S50AN.
- что туда можно запихать, и какие ТТХ получатся для, например, 4-х ядер,

Пропорционально и можно. В 8 раз меньше, чем в 400.
Sotnik писал(а):
- сколько он у тебя, как представителя, стоит,

У меня он нисколько не стоит, поскольку я к продажам не имею отношения. В любом случае, цены устнавливаются индивидуально под проект.
Sotnik писал(а):
- и про мегафункцию на AVR - получится?

Мегафункцию - точно не получится, поскольку это термин чисто альтеровский :) Прочие производители называют разработанные блоки IP-core. Есть она или нет - надо искать конкретно. opencores.org - это то место, где такие вещи бывают, но не факт, что то, что там лежит, может заработать.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Сб окт 17, 2009 23:26 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Цитата:
Sotnik писал(а):
Глянь в сторону XC3S50AN. Что туда можно запихать, и какие ТТХ получатся для, например, 4-х ядер
Пропорционально и можно. В 8 раз меньше, чем в 400.

Если тебе не трудно - можно цифры? 16бит на ядро и сколько пользовательское ОЗУ?
Цитата:
У меня он нисколько не стоит, поскольку я к продажам не имею отношения. В любом случае, цены устнавливаются индивидуально под проект.
Не понял. Предположим тебе нужна МС. Ты хочешь её положить на стол и любоваться - сколько ты отдашь денег? Или, как варивант, я хочу тебя попросить - на мою плату запаять и загрузить. Это уже будет проект? И тогда сколько?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Сб окт 17, 2009 23:47 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Sotnik писал(а):
Если тебе не трудно - можно цифры? 16бит на ядро и сколько пользовательское ОЗУ?

ОЗУ в этом кристалле 3 блока, три ядра влезут.
http://www.xilinx.com/publications/prod_mktg/Spartan3AN_product_table.pdf?KeepThis=true&TB_iframe=true&height=800&width=600
Sotnik писал(а):
Не понял. Предположим тебе нужна МС. Ты хочешь её положить на стол и любоваться - сколько ты отдашь денег? Или, как варивант, я хочу тебя попросить - на мою плату запаять и загрузить. Это уже будет проект? И тогда сколько?

Надо написать заявку дистрибьютору, заполнить форму, тогда скажут цену. В розницу ожидается порядка 10$.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Вс окт 18, 2009 00:22 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Вот нечто похожее нашёл: http://doru.info/projects/hdl/pavr/grou ... __src.html

-- <File header - VHDL file>
-- Project: pAVR (pipelined AVR). It's a deep pipeline implementation of
-- Atmel's AVR microcontroller architecture. pAVR's 6 pipeline stages make
-- it run about 3 times faster than the Atmel's core - in terms of clock
-- frequency and MIPS.
-- Version: 0.50
-- Date: 29 Dec 2004
-- Author: Doru Cuturela, doruu@yahoo.com, geocities.com/doruu
-- License: GNU GPL
-- </File header - VHDL file>



-- <File info - VHDL file>
-- This file contains:
-- - Type conversion routines often used throughout the other source files in
-- this project
-- - Basic arithmetic functions
-- *** Multiplication is not yet defined! It will be defined here.
-- - Sign and zero-extend functions
-- - Vector comparision function
-- </File info - VHDL file>



-- <File body - VHDL file>
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_signed.all;



package std_util is
function std_logic_vector_to_int(vec: std_logic_vector) return integer;
function std_logic_vector_to_nat(vec: std_logic_vector) return natural;
function int_to_std_logic_vector(i, len: integer) return std_logic_vector;

function "+"(a: std_logic_vector; b: std_logic_vector) return std_logic_vector;
function "+"(a: std_logic_vector; b: integer) return std_logic_vector;
function "-"(a: std_logic_vector; b: std_logic_vector) return std_logic_vector;
function "-"(a: std_logic_vector; b: integer) return std_logic_vector;

function sign_extend(a: std_logic_vector; wxtd: natural) return std_logic_vector;
function zero_extend(a: std_logic_vector; wxtd: natural) return std_logic_vector;

function cmp_std_logic_vector(a: std_logic_vector; b: std_logic_vector) return std_logic;
end;



package body std_util is

function std_logic_vector_to_int(vec: std_logic_vector) return integer is
variable i: integer;
begin
i := conv_integer(vec);
return(i);
end;


function std_logic_vector_to_nat(vec: std_logic_vector) return natural is
variable tmp: std_logic_vector(vec'length downto 0);
variable n: natural;
begin
assert (vec'length < 32)
report "Error: vector length > 31 in function `std_logic_vector_to_nat'."
severity failure;
tmp := '0' & vec;
n := conv_integer(tmp);
return(n);
end;


function int_to_std_logic_vector(i, len: integer) return std_logic_vector is
variable r: std_logic_vector(len - 1 downto 0);
variable r1: std_logic_vector(len downto 0);
begin
r1 := conv_std_logic_vector(i, len + 1);
r := r1(len - 1 downto 0);
return(r);
end;


function "+"(a: std_logic_vector; b: std_logic_vector) return std_logic_vector is
begin
return(signed(a) + signed(b));
end;


function "+"(a: std_logic_vector; b: integer) return std_logic_vector is
begin
return(signed(a) + b);
end;


function "-"(a: std_logic_vector; b: std_logic_vector) return std_logic_vector is
begin
return(signed(a) - signed(b));
end;


function "-"(a: std_logic_vector; b: integer) return std_logic_vector is
begin
return (signed(a) - b);
end;


function sign_extend(a: std_logic_vector; wxtd: natural) return std_logic_vector is
variable r: std_logic_vector(wxtd - 1 downto 0);
begin
assert (a'length <= wxtd)
report "Error: vector length > extended vector length in function `sign_extend'."
severity failure;
for i in 0 to a'length-1 loop
r(i) := a(i);
end loop;
for i in a'length to wxtd - 1 loop
r(i) := a(a'length - 1);
end loop;
return r;
end;


function zero_extend(a: std_logic_vector; wxtd: natural) return std_logic_vector is
variable r: std_logic_vector(wxtd - 1 downto 0);
begin
assert (a'length <= wxtd)
report "Error: vector length > extended vector length in function `sign_extend'."
severity failure;
for i in 0 to a'length-1 loop
r(i) := a(i);
end loop;
for i in a'length to wxtd - 1 loop
r(i) := '0';
end loop;
return r;
end;


function cmp_std_logic_vector(a: std_logic_vector; b: std_logic_vector) return std_logic is
variable r: std_logic;
begin
assert (a'length = b'length)
report "Error: vectors don't have the same length in function `cmp_std_logic_vector'."
severity failure;
r := '1';
for i in 0 to a'length - 1 loop
if (a(i) /= b(i)) then
r := '0';
end if;
end loop;
return r;
end;

end;
-- </File body - VHDL file>


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Вс окт 18, 2009 14:38 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Sotnik писал(а):
Вот нечто похожее нашёл: http://doru.info/projects/hdl/pavr/grou ... __src.html

Судя по представленной библиотеке (а это не сам процессор, а вспомогательная библиотека), автор несколько далек от практики. Дело в том, что описанные функции обычно присутствуют в САПР ПЛИС :) Конечно, можно потратить время, описывая их еще раз, при этом вроде бы происходит какая-то деятельность...


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Вс окт 18, 2009 23:13 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Я про "потратить время" (с твоих слов):
Цитата:
Мегафункцию - точно не получится, поскольку это термин чисто альтеровский Smile Прочие производители называют разработанные блоки IP-core. Есть она или нет - надо искать конкретно. opencores.org - это то место, где такие вещи бывают, но не факт, что то, что там лежит, может заработать.
Получается - я потратил время? Это есть в библиотеке, но ты мне присоветовал - "поройся на opencores.org".

Я порылся.
Хищник писал(а):
Sotnik писал(а):
Вот нечто похожее нашёл: http://doru.info/projects/hdl/pavr/grou ... __src.html
Судя по представленной библиотеке (а это не сам процессор, а вспомогательная библиотека), автор несколько далек от практики. Дело в том, что описанные функции обычно присутствуют в САПР ПЛИС :) Конечно, можно потратить время, описывая их еще раз, при этом вроде бы происходит какая-то деятельность...
Т.е. ты зашёл на URL и там это обнаружил.

Эты было, вероятно, очень смешно.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Вс окт 18, 2009 23:33 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Sotnik писал(а):
Цитата:
Мегафункцию - точно не получится, поскольку это термин чисто альтеровский Smile Прочие производители называют разработанные блоки IP-core. Есть она или нет - надо искать конкретно. opencores.org - это то место, где такие вещи бывают, но не факт, что то, что там лежит, может заработать.
Получается - я потратил время? Это есть в библиотеке, но ты мне присоветовал - "поройся на opencores.org".

Я порылся.

Я же ясно написал - есть сайт opencores.org, но нет гарантии, что там лежат работоспособные вещи. Смотреть надо конкретно на каждый проект. Вот конкретно в этом есть лишнее объявление операторов, которое уже присутствует в библиотеках для ПЛИС. Так что есть вероятность, что реализация для конкретной технологии будет неудачной.
Sotnik писал(а):
Т.е. ты зашёл на URL и там это обнаружил.

Эты было, вероятно, очень смешно.

Извини, а что бы ты хотел бы от меня? Чтобы я держал наготове решения для всего, что могло бы понадобиться, да еще и сопровождал чужой код?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пн окт 19, 2009 18:31 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Хищник писал(а):
Есть она или нет - надо искать конкретно. opencores.org - это то место, где такие вещи бывают,
это твои слова? Твои. Я искал в инете три часа.
После чего ты гришь что это есть в САПР библиотеке... :(
Хищник писал(а):
Извини, а что бы ты хотел бы от меня? Чтобы я держал наготове решения для всего, что могло бы понадобиться, да еще и сопровождал чужой код?
Какие решения??? Я срашивал про есть/нет!
Сразу бы сказал что есть в библиотеке и я бы три часа не мудохался.

Я уже "въехал" что тебя надо как компилятор-интерпретатор чётко спрашивать. :)
Иначе можно получить дли-н-н-у-у-ю-ю демагогию.

Мне жалко трёх часов жизни на поиски ответа в инете который уже был у тебя... :(

Я не склочник и терпеть не могу такой флейм. Но вот, нарвавшись таки, прошу тебя - просто будь аккуратнее. :zombypc;


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пн окт 19, 2009 18:37 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Sotnik писал(а):
Какие решения??? Я срашивал про есть/нет!
Сразу бы сказал что есть в библиотеке и я бы три часа не мудохался.


Спокойно, спокойно!.. Вы нашли совсем не то что хотели найти...
Вам под видом золотого слитка втюхали крашеную деревяшку, а вы кидаетесь на того, кто вам на это глаза раскрыл.

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
Показать сообщения за:  Поле сортировки  
Начать новую тему Ответить на тему  [ Сообщений: 86 ]  На страницу Пред.  1, 2, 3, 4, 5, 6  След.

Часовой пояс: UTC + 3 часа [ Летнее время ]


Кто сейчас на конференции

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 1


Вы не можете начинать темы
Вы можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

cron
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
phpBB сборка от FladeX // Русская поддержка phpBB