Forth и другие саморасширяющиеся системы программирования Locations of visitors to this page
Текущее время: Сб апр 20, 2024 08:12

...
Google Search
Forth-FAQ Spy Grafic

Часовой пояс: UTC + 3 часа [ Летнее время ]




Начать новую тему Ответить на тему  [ Сообщений: 13 ] 
Автор Сообщение
 Заголовок сообщения: С хабра: копипастить или набирать?
СообщениеДобавлено: Сб дек 15, 2012 14:30 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
http://habrahabr.ru/post/162687/#habracut


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: С хабра: копипастить или набирать?
СообщениеДобавлено: Сб дек 15, 2012 18:03 
Не в сети
Moderator
Moderator
Аватара пользователя

Зарегистрирован: Ср дек 06, 2006 09:23
Сообщения: 660
Благодарил (а): 7 раз.
Поблагодарили: 25 раз.
однозначно - набирать


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: С хабра: копипастить или набирать?
СообщениеДобавлено: Сб дек 15, 2012 18:56 
Не в сети
Moderator
Moderator

Зарегистрирован: Ср май 10, 2006 15:37
Сообщения: 1132
Откуда: Chelyabinsk ( Ural)
Благодарил (а): 0 раз.
Поблагодарили: 9 раз.
Для попробовать можно воспользоваться Online сервисами для языков программирования
Например один из сервисов с присутствием Forth (GForth 7.0)
ideone.com Вверху ярлык new code
P.S. Для Форта что только не набирают и с чем только его не путают:)
Копипаста в сервисе не работает.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: С хабра: копипастить или набирать?
СообщениеДобавлено: Сб дек 15, 2012 23:03 
Не в сети

Зарегистрирован: Ср май 03, 2006 11:27
Сообщения: 1394
Откуда: St.Petersburg
Благодарил (а): 2 раз.
Поблагодарили: 11 раз.
diver писал(а):
однозначно - набирать

Может еще что-то еще не набрано из того, что может быть востребовано.
Но все равно, дело без перспективное.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: С хабра: копипастить или набирать?
СообщениеДобавлено: Вс дек 16, 2012 21:07 
Не в сети
Аватара пользователя

Зарегистрирован: Вт авг 12, 2008 03:18
Сообщения: 327
Откуда: Москва
Благодарил (а): 36 раз.
Поблагодарили: 7 раз.
Копировать и редактировать.

_________________
Линукс решает, винда глотает.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: С хабра: копипастить или набирать?
СообщениеДобавлено: Пн дек 17, 2012 17:42 
Не в сети
Moderator
Moderator

Зарегистрирован: Ср май 10, 2006 15:37
Сообщения: 1132
Откуда: Chelyabinsk ( Ural)
Благодарил (а): 0 раз.
Поблагодарили: 9 раз.
Понять идею и повторить реализацию не смотря на код:)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: С хабра: копипастить или набирать?
СообщениеДобавлено: Вт дек 18, 2012 00:01 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Пытаюсь понять тему и думаю, а что, с хабра есть что копипастить?

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: С хабра: копипастить или набирать?
СообщениеДобавлено: Вт дек 18, 2012 00:52 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
WingLion писал(а):
Пытаюсь понять тему и думаю, а что, с хабра есть что копипастить?

В статье по ссылке автор высказывает мысль, что копипастить не стоит, лучше набирать, чтобы разобраться.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: С хабра: копипастить или набирать?
СообщениеДобавлено: Вт дек 18, 2012 01:12 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
иногда бывает, что лучше копипастить, потому что
Цитата:
помнитэ дэти, что голь, толь и моль пишутся с мягким знаком, а гол, тол и мол - без мягкого знака.. Запомнитэ это дэти, потому что понят это нэвозможно!

в исходниках частенько встречаются конструкции, которые логически не запоминаются... вот, как
Код:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

только копипастом набираются... из предыдущего проекта...

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: С хабра: копипастить или набирать?
СообщениеДобавлено: Вт дек 18, 2012 01:21 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
WingLion писал(а):
Код:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

только копипастом набираются... из предыдущего проекта...


Вот честно, никуда не смотрел :)
Код:
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

Это чтобы вместо to_integer(unsigned(slv)) использовать conv_integer(slv).


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: С хабра: копипастить или набирать?
СообщениеДобавлено: Вт дек 18, 2012 01:33 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Хищник писал(а):
Вот честно, никуда не смотрел

ну, наверно, оно после тридцатого раза запомнилось...
Код:
process (clk) begin
   if clk'event and clk=vcc then
   end if;
end process;

тоже набрал без копипаста, а раньше копировал, хотя "понять это нэвозможно"...
только зазубрить....

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: С хабра: копипастить или набирать?
СообщениеДобавлено: Вт дек 18, 2012 01:43 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
WingLion писал(а):
if clk'event and clk=vcc then

А rising_edge(clk) работает?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: С хабра: копипастить или набирать?
СообщениеДобавлено: Вт дек 18, 2012 01:45 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Хищник писал(а):
А rising_edge(clk) работает?

у альтеры как-то иначе эта функция зовется...
--- через несколько минут ---
ха-ха! и совсем не иначе :))

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
Показать сообщения за:  Поле сортировки  
Начать новую тему Ответить на тему  [ Сообщений: 13 ] 

Часовой пояс: UTC + 3 часа [ Летнее время ]


Кто сейчас на конференции

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 27


Вы не можете начинать темы
Вы можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

cron
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
phpBB сборка от FladeX // Русская поддержка phpBB