Forth и другие саморасширяющиеся системы программирования Locations of visitors to this page
Текущее время: Пт апр 19, 2024 15:06

...
Google Search
Forth-FAQ Spy Grafic

Часовой пояс: UTC + 3 часа [ Летнее время ]




Начать новую тему Ответить на тему  [ Сообщений: 408 ]  На страницу Пред.  1, 2, 3, 4, 5, 6 ... 28  След.
Автор Сообщение
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Пн янв 31, 2022 13:39 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Total Vacuum писал(а):
А где такое чудо раздобыть можно?

https://www.xilinx.com/support/download ... e-ise.html

Там, где ISE 14.7 для Win10. Но можно и Vivado скачать, она побольше, но современная. ISE все-таки уже старая.

Total Vacuum писал(а):
Вот, кстати, зачем nop? Для выравнивания?

Скорее для "забивания" команд. В МК-приложениях часто надо, хотя бы паузу сделать.

Total Vacuum писал(а):
Можно и без setlogic, если брать код команды не из системного регистра, а из стека.

А все равно получится, что первый такт снимет код команды со стека. Или надо три верхних ячейки держать на выходе модуля стека. Но так тоже можно. Получается своеобразное расширение команды данными на стеке.

Total Vacuum писал(а):
Кстати, уже понятно, что ассемблер для такого набора команд получается либо простым, но генерирует при этом неоптимальный код (все адреса длинные), либо сложным многопроходным (с укорачиванием адресов).

В целом да, но для скомпилированных слов уже известны адреса, проблема будет только с переходами вперед. Но тут уж что есть, то есть. Если поставить как данность, что команда 4-разрядная ("и сейчас мы попытаемся с этим взлететь"), то надо уже идти до конца и смотреть, что конкретно получится.

Total Vacuum писал(а):
Это профессионалу на полчаса-час... А выпускнику церковно-приходской прежде, чем править исходник-синтезировать-прошивать-править исходник-синтезировать-и т.д., лучше в чем-то на берегу потренироваться...

Ну все равно когда-то надо. Профессионалами же не рождаются :) Смысл как раз в том, что профессионалом становится тот, кто может переждать вот этот период, когда он уже тратит время и силы, а результата пока нет. Кто бросает - так и сидит годами.

Total Vacuum писал(а):
Есть ли в природе какие-то более современные инструменты для тренировки?

Вполне можно поставить Vivado, она есть в бесплатной версии. Но это для серии 7 и старше. Для Spartan-3 и Spartan-6 подходит только ISE, которая под Windows 10 уже работает только в виде Linux-VM.



За это сообщение автора Hishnik поблагодарил: Total Vacuum
Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Ср фев 02, 2022 20:30 
Не в сети
Аватара пользователя

Зарегистрирован: Ср июл 03, 2019 11:10
Сообщения: 466
Откуда: Москва
Благодарил (а): 57 раз.
Поблагодарили: 22 раз.
Набросал транслятор форт=>асм для выбранной системы команд, сделал асм и небольшую виртуальную машину, оттранслировал 3d-бродилку... Скомпилированный результат весит меньше 2K, работает, конечно же, не очень шустро, но т.к. это "режим интерпретации", где каждая команда 4-битного процессора по времени занимает столько же, сколько с десяток-два родных команд процессора, то вполне сойдет. Кстати, более классический вариант системы команд, где адреса и литералы лежат не в стеке, а в коде сразу за кодом команды, дает более компактный результат: 1.5K примерно.

Сделал пока в таком виде, пока не все проверил/реализовал, но уже помигал светодиодами. :) Вот собственно весь модуль:
Код:
`timescale 1 ns / 1 ps
`define PUSH   4
... // тут константы
`define SHIFT 15
module cpu4 (input wire i, output wire o, output wire o2, output wire o3);
   reg [3:0] code [0:255];
   reg [7:0] pc = 0, xpc = 0;
   reg [7:0] data [0:255];
   reg [31:0] stack,  xstack;
   reg [31:0] rstack, xrstack;
   reg [31:0] clock = 0;
   reg ready = 0;
   always @ (negedge i) begin
      if (ready) begin
         case (code[pc])
            `PUSH  : xstack <= stack<<8;
            `JZ    : begin xstack <= stack>>16; if (~stack[15:8]) xpc <= stack[7:0]-1; end
            `CALL  : begin xstack <= stack>>8; xrstack <= (rstack<<8)|pc; xpc <= stack[7:0]; end // не проверял
            `RET   : begin xpc <= rstack[7:0]; xrstack <= rstack>>8; end // не проверял
            `LOAD  : xstack[7:0] <= data[stack[7:0]];
            `STORE : begin xstack <= stack>>16; data [stack[7:0]] <= stack[15:8]; end
            `SWAP  : xstack[15:0] <= {stack[7:0],stack[15:8]};
            `PICK  : xstack[7:0] <= stack>>((stack[7:0]+1)<<3);
            `NAND  : xstack[23:0] <= {stack[31:16],~(stack[15:8]&stack[7:0])};
            `XOR   : xstack[23:0] <= {stack[31:16],stack[15:8]^stack[7:0]};
            `MLA   : xstack[15:0] <= {stack[31:24],stack[23:16]*stack[7:0]+stack[15:8]};
            `SHIFT : data[0] <= 0; // пока не делал
            default: xstack[7:0] <= {stack[5:0],code[pc]};
         endcase
         xpc <= xpc+1;
      end else begin
         if (clock[8]) begin
            ready <= 1;
            data [0] <= 0;
            code [0]  <= ... // тут прошивка
         end
      end
   end
   always @ (posedge i) begin
      clock <= clock+1;
      if (ready) begin
         stack <= xstack;
         rstack <= xrstack;
         pc <= xpc;
      end
   end
   assign o  = 1&data[0];
   assign o2 = 1;
   assign o3 = clock[9];
endmodule
Сделал пока в минимальном варианте:8-битное ядро, 2 стека глубиной по 4 байта, сегмент данных 256 байт, сегмент кода 256 полубайт, 1 вход (такты), 3 выхода на светодиоды, один Memory Mapped Register по адресу 0, младший бит которого транслируется на светодиод. Дальше можно увеличивать разрядность, память, добавлять возможность чтения прошивки из внешнего мира, добавлять нужную периферию (uart, i2c) и т.д. Вот теперь бы еще оценить потенциал по скорости и компактности текущей реализации и понять, в правильном ли направлении я двигаюсь... Я ж все-таки программист, поэтому многие вещи в veriloge, скорее всего, делаю как программист, т.е. неправильно... :D Может уже по этому фрагменту кода сразу видно, что так делать категорически нельзя? :)
Внешний ресет пока не делал, но может с ним удобнее будет инициализацию делать, чем с внутренним счетчиком тактов...
Кстати, есть ли в veriloge более удобный способ инициализации массивов, чем code [n] = n; code[n+1] = ... и т.д.?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Чт фев 03, 2022 02:22 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
О, интересно! Это уже продвижение.

Код:
module cpu4 (input wire i, output wire o, output wire o2, output wire o3);

Тут явно мало, нужен весь интерфейс. То есть clk, addr, data....

Код:
   
   reg [31:0] stack,  xstack;
   reg [31:0] rstack, xrstack;

По два 32-разрядных числа для каждого стека? Это так работает, это не 32 ячейки.

Код:
always @ (negedge i) begin

Вот так лучше не надо. Это получилась схема "фронт-спад", она теоретически красивая, но по факту все равно задержки будут считаться от перепада до перепада, а на практике при такой схеме добавляется запас на duty cycle, который на практике не 50%, и САПР это учитывает. То есть тут получится вроде бы более эффективное использование тактового сигнала, но по факту частота тут же упадет в 2 раза.

Код:
      if (clock[8]) begin
            ready <= 1;
            data [0] <= 0;
            code [0]  <= ... // тут прошивка
         end


Это явно "заглушка", так не надо. Нужен тактовый генератор, а сброс в тестбенче.

Total Vacuum писал(а):
Внешний ресет пока не делал, но может с ним удобнее будет инициализацию делать, чем с внутренним счетчиком тактов...

Да, конечно.

Total Vacuum писал(а):
Кстати, есть ли в veriloge более удобный способ инициализации массивов, чем code [n] = n; code[n+1] = ... и т.д.?

Можно посмотреть функцию readmemh, она сразу загружает hex из текстового файла.



За это сообщение автора Hishnik поблагодарил: Total Vacuum
Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Чт фев 03, 2022 11:16 
Не в сети
Аватара пользователя

Зарегистрирован: Ср июл 03, 2019 11:10
Сообщения: 466
Откуда: Москва
Благодарил (а): 57 раз.
Поблагодарили: 22 раз.
Hishnik писал(а):
Тут явно мало, нужен весь интерфейс. То есть clk, addr, data....
Да, безусловно. На одних светодиодах далеко не уедешь... :) Главное, что на данном этапе хоть в каком-то виде зашевелилось, так что можно наращивать функционал...

Hishnik писал(а):
По два 32-разрядных числа для каждого стека? Это так работает, это не 32 ячейки.
В примере стек данных и стек возвратов глубиной по 4 байта каждый, вершина стека лежит в младшем байте, а адреса и арифметика пока 8-битные. Имелось ввиду это:
Код:
reg [7:0] stack [0:3];
Но я использую запись
Код:
reg [31:0] stack;
, чтобы иметь возможность сдвигать весь стек как единое целое в любую сторону, например
Код:
stack <= stack>>8; // drop
или
Код:
stack <= stack<<8; // push 0


Hishnik писал(а):
Нужен тактовый генератор
Имеется ввиду dcm? Сейчас в проекте только dcm и cpu4, между которыми временно вклинился примитивный делитель частоты, а также obuf4 перед светодиодами.

Hishnik писал(а):
..., а сброс в тестбенче.
А как это?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Пт фев 04, 2022 01:09 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Total Vacuum писал(а):
Да, безусловно. На одних светодиодах далеко не уедешь... Главное, что на данном этапе хоть в каком-то виде зашевелилось, так что можно наращивать функционал...

Тонкость еще в том, что если что-то не влияет на выходные сигналы, оно выбрасывается из проекта. Если выходов мало, то останется только то, что ими управляет.

Total Vacuum писал(а):
В примере стек данных и стек возвратов глубиной по 4 байта каждый, вершина стека лежит в младшем байте, а адреса и арифметика пока 8-битные. Имелось ввиду это:

Выглядит интересно, именно как стек. Однако надо проследить, чтобы запись получалась в правильные места. Кроме того, такая форма описания оставляет синтезатору только один вариант - триггеры ячеек. Они быстро съедятся таким образом.

Total Vacuum писал(а):
между которыми временно вклинился примитивный делитель частоты,


Нет, так точно не надо. С точки зрения абстрактных моделей вроде бы никаких проблем, но на кристалле такая поделенная частота будет иметь нестабильную фазу, разную на разных триггерах. Когда-то было неважно, но граница как раз на уровне Spartan-3. Если нужно изменить частоту, это может сделать сам dcm.

Total Vacuum писал(а):
А как это?


А в презентации же есть.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Пн фев 07, 2022 14:32 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Hishnik писал(а):
Sotnik писал(а):
Вообще-то надо общие ТТХ прикинуть, какие ожидаемые параметры камня и девайса.
Ну какие ТТХ? Это на полчаса-час работы в САПР. Можно для освоения такое себе позволить сделать?
Какой минимальный камень, сколько ячеек ядро, сколько в этом камне будет памяти, скорости не так важны, хватит и 100мГц для начала.
Сколько команд быстрых в железе.
Периферия - таймер, да IO, для начала.

_________________
Сотник. SotnikSTO@mail.ru


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Пн фев 07, 2022 14:49 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Sotnik писал(а):
Какой минимальный камень,

Spartan-6 LX4 - минимальный из выпускаемых, в него лезет все.
Sotnik писал(а):
сколько ячеек ядро

Писал - 1-2 тысячи на 32 разряда.
Sotnik писал(а):
сколько в этом камне будет памяти

Адресуемой? 4G, но столько нет в наличии. Сколько в ПЛИС памяти, столько и у процессора.
Sotnik писал(а):
Сколько команд быстрых в железе.

Все описано в презентации, это про планирование системы команд. Как она спроектирована, такие и возможности. Лишь бы в RTL можно было описать.
Sotnik писал(а):
Периферия - таймер, да IO, для начала.

Для какого начала? Это все делается в рамках первого запуска. Надеяться, что вот сейчас оно начнет победное шествие по миру - ну так себе надежда. ПЛИС уже вошли в практику, этим всем не удивить. Нужно в свои проекты вставлять, и для этого учиться делать разные процессоры, чтобы понимать, что именно и какими усилиями можно доработать.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Пн фев 07, 2022 20:44 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Информации ноль. Переведу на русский. :)
Hishnik писал(а):
Sotnik писал(а):
Какой минимальный камень,
Spartan-6 LX4 - минимальный из выпускаемых, в него лезет все.
Ищу минимальный: XC6SLX4-2CPG196 $10 LUT6 3840 BRAM 216Кбит 0 Flash DSP48A1 8шт 325 МГц
Hishnik писал(а):
Писал - 1-2 тысячи на 32 разряда.
Понятно. ФОРТ будет 32 бита, как и ядро.
Sotnik писал(а):
сколько в этом камне будет памяти
Hishnik писал(а):
Адресуемой? 4G, но столько нет в наличии. Сколько в ПЛИС памяти, столько и у процессора.
BRAM ~21Кбайт (этого хватит только для демо) Flash нет
Sotnik писал(а):
Сколько команд быстрых в железе.
Hishnik писал(а):
Все описано в презентации, это про планирование системы команд. Как она спроектирована, такие и возможности. Лишь бы в RTL можно было описать.
Что описано в презентации? Специально ещё раз посмотрел.
Код:
Зачем эти материалы?
• Разобраться, что такое процессор и как разработать его на RTL
• Посмотреть на полный маршрут проектирования для ПЛИС от идеи до загрузки в микросхему
• Обеспечить основу для расширения и указать направления модификации
• Материалы свободны для модификации и использования
> следовать своим целям
Цель (в конце то концов) - получить ГОТОВОЕ изделие, где ФОРТ является ядром системы.
А не изобретать опять ГОДАМИ велосипед... тренировка очередная на годы
Не разрабатывать в тридесятый раз, для тренировки, а получить готовое изделие с заданными (уже по камню) ТТХ.
Есть же наработки, проверенные временем.
Sotnik писал(а):
Периферия - таймер, да IO, для начала.
Hishnik писал(а):
Для какого начала?
Всё начинается с ТТХ задачи - значит тут всё зависит от камня.
Начало - получить стабильный отклик созданного. А что, бывает другое начало???
Дальше опять АВСОЛЮТНО всё построено на абстракциях.
Перевожу на русский дальше.
Цитата:
Это все делается в рамках первого запуска.
Что ожидается от первого запуска??? ЧТО???
Запуска нет, идёт бурная деятельность, и такие пробы пера длятся 10 лет, и будут вечными.
Цитата:
Надеяться, что вот сейчас оно начнет победное шествие по миру - ну так себе надежда.
ПЛИС уже вошли в практику, этим всем не удивить.
Нужно в свои проекты вставлять, и для этого учиться делать разные процессоры, чтобы понимать, что именно и какими усилиями можно доработать.
Сколько уже надо проговаривать, десятилетие прошло.

НЕ НАДО учиться делать разные процессоры

НЕ НАДО! Нужен один готовый, который уже есть, без граблей.

Необходимо готовое рабочее ядро, без глюков, запустить хоть в каком камне.
Это и будет началом созданного ФОРТА в железе.
Будет чем официально меряться с конкурентами (соизмеримо, конечно).
Будет на чём новичкам мигать светодиодиком. И посерьёзнее задач есть море.
А мне дописать неимоверно сложную задачу, которая сейчас на ПК работает едва,
но в FPGA (с некими аппаратными добавками) будет работать в реальном времени.

И вот тогда, используя очевидные преимущества, можно идти дальше.
Новые серии, новые возможности. А не бутафория и пустые слова.

_________________
Сотник. SotnikSTO@mail.ru


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Пн фев 07, 2022 20:48 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Народ.

Я уже устал проговаривать очевидное.

Ну хватит нам мыкаться нахлобучивая на разные камни ФОРТ.

Хватит уже.

Сделаем свой.

_________________
Сотник. SotnikSTO@mail.ru


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Пн фев 07, 2022 23:52 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Sotnik писал(а):
Ищу минимальный: XC6SLX4-2CPG196 $10 LUT6 3840 BRAM 216Кбит 0 Flash DSP48A1 8шт 325 МГц

"Для себя" лучше искать что-то 7-й серии. Неплохие платы серии Digilent Arty. Но это если прототипы нужны постоянно. Просто так, впрок, нет большого смысла. При правильной постановке процесса вполне можно решать все моделированием.

Sotnik писал(а):
BRAM ~21Кбайт (этого хватит только для демо)

Ну вообще хватало, для разных вещей.

Sotnik писал(а):
Что описано в презентации? Специально ещё раз посмотрел.

Там показано, как получаются команды. Если для команды известно, как она влияет на регистры процессора (в широком понимании - включая регистры указателей стека, счетчик команд и т.п.), то ее можно добавить в процессор.

Sotnik писал(а):
Всё начинается с ТТХ задачи - значит тут всё зависит от камня.
Начало - получить стабильный отклик созданного.

Да какой уже стабильный отклик-то? Восьмое поколение ядер уже этот стабильный отклик наблюдается. Для чего это конкретно нужно?
Sotnik писал(а):
Запуска нет, идёт бурная деятельность, и такие пробы пера длятся 10 лет, и будут вечными.

Вот сейчас вспомнил, и понял, что даже серийные продукты лениво собирать. Что-то на electronpribor продается, что-то настолько специфичное, что не было ни малейшего смысла где-то в сети рекламировать. Что-то уже с производства снято, а ролики уже не ищутся. Откуда вот это "пробы пера"? По принципу "раз у меня нет, то и ни у кого нет"?

Sotnik писал(а):
НЕ НАДО учиться делать разные процессоры

Не надо делать безапелляционных заявлений, не владея материалом. У меня есть процессоры, и я знаю, как обучать их проектированию. И я при этом оказываюсь неправ? Ну а как тогда надо и где практический пример, когда ничему не учились и сразу какие-то там рынки заняли?

Sotnik писал(а):
Нужен один готовый, который уже есть, без граблей.

5-й и 7-й в линейке ядер вполне работают, и я даже не могу навскидку сосчитать, сколько на них сделано. И что, надо было это продавать по штучке воротящим нос хоббистам, а на проекты наплевать?

Sotnik писал(а):
Необходимо готовое рабочее ядро, без глюков, запустить хоть в каком камне.
Это и будет началом созданного ФОРТА в железе.

Ну так это мне в 2000-й год надо возвращаться, с готовым рабочим ядром-то...

Sotnik писал(а):
А мне дописать неимоверно сложную задачу, которая сейчас на ПК работает едва,
но в FPGA (с некими аппаратными добавками) будет работать в реальном времени.

И это заведомо путь к аппаратным ускорителям. Если сложная математика - в HLS. А процессор не для производительности, он для управления и интерактива.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Вт фев 08, 2022 14:04 
Не в сети

Зарегистрирован: Пн янв 07, 2013 22:40
Сообщения: 2141
Благодарил (а): 8 раз.
Поблагодарили: 74 раз.
В этом проекте внутренний транслятор Форта делается аппаратно.
Насколько это неоходимо/эффективно Х.З.
Forth on FPGA for AI & RoboticsИзображение

P.S. В софтовом ядре FPGA Форт процессора Microcore в системе команд было сделано что то подобное по её расширению.

Вот ещё одна архитектура Форт процессора на французком сайте по Форт.
16 and 32 bits FORTH cores

Некоторые стековые процессоры упомянутые в
7-й части Обзора Великих микропроцессоров в истории


Последний раз редактировалось KPG Вт фев 08, 2022 15:49, всего редактировалось 1 раз.

Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Вт фев 08, 2022 15:25 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
KPG писал(а):
В этом проекте внутренний транслятор Форта делается аппаратно.
Насколько это неоходимо/эффективно Х.З.

Лишние затраты ресурсов, с какой стороны ни посмотреть. Во-первых, для форт-процессора транслятор часто внешний. Во-вторых, даже если он нужен внутри, существенно проще сделать его в виде программы. Что там ускорять? Обработку строк, передаваемых со скоростью 115200 бод?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Вт фев 08, 2022 15:28 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
KPG писал(а):
Вот ещё одна архитектура Форт процессора на французком сайте по Форт.

Вот пускай сюда приходят и приводят аргументы по поводу своего процессора.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Вт фев 08, 2022 18:07 
Не в сети
Moderator
Moderator
Аватара пользователя

Зарегистрирован: Ср дек 06, 2006 09:23
Сообщения: 660
Благодарил (а): 7 раз.
Поблагодарили: 25 раз.
Ну коли уж пошла такая пьянка....
https://habr.com/ru/post/582650/


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Как сделать форт-процессор 2022
СообщениеДобавлено: Ср фев 09, 2022 00:46 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Это все можно в Zedboard и датчики к нему!


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
Показать сообщения за:  Поле сортировки  
Начать новую тему Ответить на тему  [ Сообщений: 408 ]  На страницу Пред.  1, 2, 3, 4, 5, 6 ... 28  След.

Часовой пояс: UTC + 3 часа [ Летнее время ]


Кто сейчас на конференции

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 23


Вы не можете начинать темы
Вы можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
phpBB сборка от FladeX // Русская поддержка phpBB