Forth и другие саморасширяющиеся системы программирования Locations of visitors to this page
Текущее время: Чт мар 28, 2024 16:27

...
Google Search
Forth-FAQ Spy Grafic

Часовой пояс: UTC + 3 часа [ Летнее время ]




Начать новую тему Ответить на тему  [ Сообщений: 137 ]  На страницу Пред.  1 ... 4, 5, 6, 7, 8, 9, 10  След.
Автор Сообщение
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 19:52 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июл 20, 2006 11:31
Сообщения: 2168
Откуда: Екб
Благодарил (а): 0 раз.
Поблагодарили: 41 раз.
WingLion писал(а):
Тоже совершенно необоснованное утверждение.Я прямо сейчас могу добавить к этому самому процу примочку, с помощью которой систему команд можно будет модифицировать на ходу. И не понадобится никаких сверхусилий... ну, добавится несколько сотен LCELL в реализацию и все.

И что рабочая тактовая частота останется той же? Кроме того что такое система команд.
Если например можно увеличить число команд до нескольких тысяч без ущерба для быстродействия, то чем будет лучше менять набор команд на ходу?

_________________
С уважением, chess


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 19:56 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
chess писал(а):
И что рабочая тактовая частота останется той же?


Тактовая останется той же, а команды будут выполняться медленнее, потому что кроме выборки команды появится выборка микрокода, изменением которого и можно будет модифицировать систему команд.

chess писал(а):
Если например можно увеличить число команд до нескольких тысяч без ущерба для быстродействия, то чем будет лучше менять набор команд на ходу?


А это, извините. увеличить без ущерба для быстродействия - не получится.
Так что придется выбирать, шашечки, ехать или нужен КАМАЗ...

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 20:07 
Не в сети

Зарегистрирован: Вт май 09, 2006 12:31
Сообщения: 3438
Благодарил (а): 5 раз.
Поблагодарили: 16 раз.
Цитата:
Я прямо сейчас могу добавить к этому самому процу примочку, с помощью которой систему команд можно будет модифицировать на ходу. И не понадобится никаких сверхусилий... ну, добавится несколько сотен LCELL в реализацию и все.

В первую очередь нужно экспериментировать всё многообещающее из фантастического или самое необычное из реалистичного (что одно и то же) , т.е. вот это нужно поробовать. В т.ч. например для упомянутой экономии.Это важнее, чем методичные эксперименты над обычными алгоритмами и наборами команд


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 20:13 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
вопрос писал(а):
В первую очередь нужно экспериментировать всё многообещающее из фантастического или самое необычное из реалистичного (что одно и то же) , т.е. вот это нужно поробовать. В т.ч. например для упомянутой экономии.Это важнее, чем методичные эксперименты над обычными алгоритмами и наборами команд


Странная связка фраз какая-то...

"В первую очередь нужно экспериментировать.... Это важнее чем эксперименты...."

Стою на асфальте я в лыжи обутый.... то ли я торможу, то ли лыжи не едут... (c)...

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 20:42 
Не в сети

Зарегистрирован: Вт май 09, 2006 12:31
Сообщения: 3438
Благодарил (а): 5 раз.
Поблагодарили: 16 раз.
Цитата:
Странная связка фраз какая-то...
порядок экспериментов должен быть таким - сначала всё самое необычное, затем наиболее реалистичное из необычного, затем только методичные эксперименты на заданные темы

эксперименты на "совсем заданные темы" - часто рискуют (никому не в обиду) превратиться в бесконечный бег по спирали, который будет мало чем отличаться от бега по кругу


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 20:56 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
вопрос писал(а):
эксперименты на "совсем заданные темы" - часто рискуют (никому не в обиду) превратиться в бесконечный бег по спирали, который будет мало чем отличаться от бега по кругу

Это рутина, от которой никуда не деться. Можно пройти ее организованно, а можно стихийно, выгребая интересные эффекты в течение долгого периода эксплуатации.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 21:09 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июл 20, 2006 11:31
Сообщения: 2168
Откуда: Екб
Благодарил (а): 0 раз.
Поблагодарили: 41 раз.
WingLion писал(а):
Тактовая останется той же, а команды будут выполняться медленнее, потому что кроме выборки команды появится выборка микрокода, изменением которого и можно будет модифицировать систему команд.

Похоже вариант с большим количеством команд( разумно большим ) предпочтительнее чем вариант с микрокодом. Вот еще бы сделать команды реконфигурации команд ветвления.
Типа чего-то такого:
Было
Код:
IF f1 ELSE f2 THEN

после выполнения f2 ( внутри f2 есть команда off_else )
Стало
Код:
IF f1 THEN

Ну и другие команды с тем же смыслом - укоротить время на прохождение цепочек анализа условий и ветвлений.

_________________
С уважением, chess


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 21:15 
Не в сети

Зарегистрирован: Вт май 09, 2006 12:31
Сообщения: 3438
Благодарил (а): 5 раз.
Поблагодарили: 16 раз.
Цитата:
Ну и другие команды с тем же смыслом - укоротить время на прохождение цепочек анализа условий и ветвлений.
или удлинить если что - получился бы очень концептуальный процессор


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 22:48 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июн 25, 2009 11:12
Сообщения: 412
Благодарил (а): 41 раз.
Поблагодарили: 8 раз.
chess писал(а):
Было
Код:
IF f1 ELSE f2 THEN

после выполнения f2 ( внутри f2 есть команда off_else )
Стало
Код:
IF f1 THEN

Ну и другие команды с тем же смыслом - укоротить время на прохождение цепочек анализа условий и ветвлений.

А кто будет выполнять команду on_else? И как будет запоминаться статус off_else?
Учтите рекурсию и прерывания... :^)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Вт фев 22, 2011 05:24 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
chess писал(а):
еще бы сделать команды реконфигурации команд ветвления.
Типа чего-то такого:
Было
Код:
IF f1 ELSE f2 THEN

после выполнения f2 ( внутри f2 есть команда off_else )
Стало
Код:
IF f1 THEN

Ну и другие команды с тем же смыслом - укоротить время на прохождение цепочек анализа условий и ветвлений.


С ума сойти... :^) Слова, вроде, русские, а понять о чем речь - не получается.
"как одену портупею, так и чувствую - тупею!" (с)...

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Вт фев 22, 2011 09:44 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июл 20, 2006 11:31
Сообщения: 2168
Откуда: Екб
Благодарил (а): 0 раз.
Поблагодарили: 41 раз.
WingLion писал(а):
С ума сойти... Слова, вроде, русские, а понять о чем речь - не получается."как одену портупею, так и чувствую - тупею!" (с)...

Вот поясняющий пример( сама команда реконфигурации структуры управления в ветке ELSE THEN не приведена )
Действие команды реконфигурации структуры управления в данном случае сводится к изменению кода (L1 J0=) на (L2 J0=)

Было
Код:
: s1  A|A A=@P $ 4 Pa L1 J0= 1 L2 JMP L1: 0 L2: ;   \ это код для ( IF 1 ELSE 0 THEN )


Стало
Код:
: s2  A|A A=@P $ 4 Pa L2 J0= 1 L2 JMP     0 L2: ;   \ ( IF 1 THEN )

Код:
STARTLOG
SEE s1 SEE s2

лог
Код:
CODE s1
5D013B 0BC0             OR      EAX , EAX
5D013D 8B4500           MOV     EAX , 0 [EBP]
5D0140 8D6D04           LEA     EBP , 4 [EBP]
5D0143 740D             JE      5D0152
5D0145 8945FC           MOV     FC [EBP] , EAX
5D0148 B801000000       MOV     EAX , # 1
5D014D 8D6DFC           LEA     EBP , FC [EBP]
5D0150 EB08             JMP     5D015A
5D0152 8945FC           MOV     FC [EBP] , EAX
5D0155 33C0             XOR     EAX , EAX
5D0157 8D6DFC           LEA     EBP , FC [EBP]
5D015A C3               RET     NEAR
END-CODE
( 32 bytes, 12 instructions )


CODE s2
5D016B 0BC0             OR      EAX , EAX
5D016D 8B4500           MOV     EAX , 0 [EBP]
5D0170 8D6D04           LEA     EBP , 4 [EBP]
5D0173 7415             JE      5D018A
5D0175 8945FC           MOV     FC [EBP] , EAX
5D0178 B801000000       MOV     EAX , # 1
5D017D 8D6DFC           LEA     EBP , FC [EBP]
5D0180 EB08             JMP     5D018A
5D0182 8945FC           MOV     FC [EBP] , EAX
5D0185 33C0             XOR     EAX , EAX
5D0187 8D6DFC           LEA     EBP , FC [EBP]
5D018A C3               RET     NEAR
END-CODE
( 32 bytes, 12 instructions )

ps. После реконфигурации уже не заходим внутрь else then на чем и экономим время.

_________________
С уважением, chess


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Вт фев 22, 2011 09:54 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июл 20, 2006 11:31
Сообщения: 2168
Откуда: Екб
Благодарил (а): 0 раз.
Поблагодарили: 41 раз.
dynamic-wind писал(а):
А кто будет выполнять команду on_else? И как будет запоминаться статус off_else?Учтите рекурсию и прерывания...

У вас стандартный ассоциативный ряд.
Нет команд if else then и стало быть и их статуса нет. Есть структуры управления, которые формируются с помощью команд передачи управления. Вот эти команды управления уже можно подменять(изменять) из программы. Структуры управления локальная вещь в смысле привязки их к конкретным местам программы, поэтому никакого статуса у команд, которые изменяют
команды передачи управления тоже быть не может.
В этом свете упоминание о рекурсии и прерывании здесь не имеют смысла.

_________________
С уважением, chess


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Вт фев 22, 2011 13:23 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июн 25, 2009 11:12
Сообщения: 412
Благодарил (а): 41 раз.
Поблагодарили: 8 раз.
chess писал(а):
Нет команд if else then и стало быть и их статуса нет. Есть структуры управления, которые формируются с помощью команд передачи управления. Вот эти команды управления уже можно подменять(изменять) из программы. Структуры управления локальная вещь в смысле привязки их к конкретным местам программы, поэтому никакого статуса у команд, которые изменяют

Не понял. :shock: В каких случаях, по какому праву ветвь ЕЛСЕ может менять условное ветвление?
Почему можно менять конструкцию управления раз и навсегда, вдруг ветвь ЕЛСЕ еще понадобится?
Вот тут нельзя менять: RANDOM IF 65 EMIT ELSE 66 EMIT THEN


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Вт фев 22, 2011 13:51 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июл 20, 2006 11:31
Сообщения: 2168
Откуда: Екб
Благодарил (а): 0 раз.
Поблагодарили: 41 раз.
dynamic-wind писал(а):
В каких случаях, по какому праву ветвь ЕЛСЕ может менять условное ветвление?Почему можно менять конструкцию управления раз и навсегда, вдруг ветвь ЕЛСЕ еще понадобится?

Почему раз и навсегда? Это откуда следует? Что, переключить обратно нельзя что-ли. Кстати переключать можно конкретные управляющие структуры из разных точек программы.

А так, да - по мере обработки данных какие-то условия уже никогда не надо проверять и соответственно обрабатывать внутри все того же рабочего цикла программы, который иногда может и закончиться.

_________________
С уважением, chess


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Вт фев 22, 2011 13:58 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июн 25, 2009 11:12
Сообщения: 412
Благодарил (а): 41 раз.
Поблагодарили: 8 раз.
chess писал(а):
Почему раз и навсегда? Это откуда следует? Что, переключить обратно нельзя что-ли. Кстати переключать можно конкретные управляющие структуры из разных точек программы.

А так, да - по мере обработки данных какие-то условия уже никогда не надо проверять и соответственно обрабатывать внутри все того же рабочего цикла программы, который иногда может и закончиться.

Тогда кто или что решает, что в данном случае условие можно уже не проверять?
Кто или что решает, что условие снова нужно проверять?
Много ли даёт оптимизация одного только перехода, если не исключать заодно и вычисление предиката?
Сдается мне, Вы вот-вот переизобретёте lazy evaluation :mrgreen:


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
Показать сообщения за:  Поле сортировки  
Начать новую тему Ответить на тему  [ Сообщений: 137 ]  На страницу Пред.  1 ... 4, 5, 6, 7, 8, 9, 10  След.

Часовой пояс: UTC + 3 часа [ Летнее время ]


Кто сейчас на конференции

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 14


Вы не можете начинать темы
Вы можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

cron
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
phpBB сборка от FladeX // Русская поддержка phpBB