Forth и другие саморасширяющиеся системы программирования Locations of visitors to this page
Текущее время: Чт мар 28, 2024 17:25

...
Google Search
Forth-FAQ Spy Grafic

Часовой пояс: UTC + 3 часа [ Летнее время ]




Начать новую тему Ответить на тему  [ Сообщений: 137 ]  На страницу Пред.  1 ... 3, 4, 5, 6, 7, 8, 9, 10  След.
Автор Сообщение
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Сб фев 19, 2011 18:03 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июн 25, 2009 11:12
Сообщения: 412
Благодарил (а): 41 раз.
Поблагодарили: 8 раз.
О божежтымой, вселенская проблема: за 12 команд сделать логическую операцию (которую сами же из процессора исключили) или за 14. :twisted:


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Сб фев 19, 2011 18:10 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
а флудить зачем, если нечего сказать по теме?

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 01:03 
Не в сети

Зарегистрирован: Сб май 06, 2006 12:01
Сообщения: 959
Откуда: Украина, Харьков
Благодарил (а): 2 раз.
Поблагодарили: 7 раз.
Здорово! Что-то подобное я подозревал. Как получил? Интересна общая методика и где подробнее прочитать теорию.

_________________
With best wishes, in4.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 13:02 
Не в сети
Аватара пользователя

Зарегистрирован: Пт дек 26, 2008 21:16
Сообщения: 412
Откуда: Великий Новгород
Благодарил (а): 9 раз.
Поблагодарили: 4 раз.
WingLion писал(а):
а флудить зачем, если нечего сказать по теме?

Думаю это не флуд. Тут скрытый вопрос, а есть ли смысл ограничивать себя четырьмя битами?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 13:20 
Не в сети

Зарегистрирован: Вт май 09, 2006 12:31
Сообщения: 3438
Благодарил (а): 5 раз.
Поблагодарили: 16 раз.
И вправду - не искусственная ли это трудность и стоит ли тратить время на её преодоление, когда другие набирают куда более разнообразные навыки в более "широком" коде?
Другими словами - если никогда не понадобится такая экономия - для чего в ней упражняться?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 14:15 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
in4 писал(а):
Здорово! Что-то подобное я подозревал. Как получил? Интересна общая методика и где подробнее прочитать теорию.

Базис Шеффера или базис Пирса - вот и вся цифровая электроника. Плюс оптимизация, например, с помощью карт Карно. Но я, пожалуй, соглашусь, что подобная экономия на деле может привести к увеличению суммарного объема кода, потому что вместо 10 команд по 8 бит будут 12*10 по 4 бита. Вобщем, тут напрашивается профилирование основных алгоритмов.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 15:03 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июн 25, 2009 11:12
Сообщения: 412
Благодарил (а): 41 раз.
Поблагодарили: 8 раз.
Хищник писал(а):
Вобщем, тут напрашивается профилирование основных алгоритмов.

А вот это большой вопрос.
Какие алгоритмы профилировать, чтобы определить оптимальный набор команд, оптимальную длину командного слова?
Кому-то AES нужен, кому-то БПФ, кому-то пересылки память-память...


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 15:19 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июл 20, 2006 11:31
Сообщения: 2168
Откуда: Екб
Благодарил (а): 0 раз.
Поблагодарили: 41 раз.
dynamic-wind писал(а):
Какие алгоритмы профилировать, чтобы определить оптимальный набор команд, оптимальную длину командного слова?

Нужна возможность реконфигурации набора команд(впрочем не только набора команд) хотя бы по функционалу.
ПЛИС пока этого обеспечить не может.
Остается наращивать количество ядер или наращивать количество (и длину) команд. Первое перспективнее.

_________________
С уважением, chess


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 15:24 
Не в сети

Зарегистрирован: Сб май 06, 2006 12:01
Сообщения: 959
Откуда: Украина, Харьков
Благодарил (а): 2 раз.
Поблагодарили: 7 раз.
chess писал(а):
Нужна возможность реконфигурации набора команд(впрочем не только набора команд) хотя бы по функционалу.
Есть реальная задача, где такое можно применить?

_________________
With best wishes, in4.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 16:01 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июл 20, 2006 11:31
Сообщения: 2168
Откуда: Екб
Благодарил (а): 0 раз.
Поблагодарили: 41 раз.
in4 писал(а):
Есть реальная задача, где такое можно применить?

Да большинство реальных задач такие.
Простой пример:
Код:
DO I 4 < IF f1 ELSE f2 THEN LOOP

После того как I станет больше 3 структура цикла должна стать такой
Код:
DO f2 LOOP

И таких примеров можно привести уйму( это примеры микроуровня ).
А если интегрально, то на переборно-поисковых алгоритмах один набор команд производительнее,
на чисто вычислительных - другой..

_________________
С уважением, chess


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 16:13 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
dynamic-wind писал(а):
Какие алгоритмы профилировать, чтобы определить оптимальный набор команд, оптимальную длину командного слова?
Кому-то AES нужен, кому-то БПФ, кому-то пересылки память-память...

И все это в ПЛИС делается аппаратными блоками. Процессор там нужен для другого - организации работы аппаратных систем, интерфейса, сложных протоколов обмена, которые долго и хлопотно выписывать на HDL. Зачем делать БПФ программно, если для этого есть аппаратное ядро, непринужденно переплевывающее процессор в разы? Но вот разобраться с результатами проще в программе.
Что до разной специфики программ, то тут тоже нет ничего странного.
Смотрим eembc.org

Цитата:
Microprocessor Benchmark Suites

AutoBench - Automotive, industrial, and general-purpose applications

ConsumerBench - Digital cameras, printers, and other embedded systems doing digital imaging tasks

CoreMark - Single number score for quick comparison of processor and microcontroller core functionality

DENBench - Digital entertainment products such as PDAs, mobile phones, MP3 players, cameras, TV set-top boxes, and in-car entertainment systems

EnergyBench - Power and energy performance with insights to power budget costs

FPBench - Floating-point performance in graphics, audio, motor control, and other high-end processing tasks

MultiBench - Multicore architectures, memory bottlenecks, OS thread scheduling, synchronization efficiency

Networking - Moving and analyzing packets in networking applications

OABench - Office Automation tasks in printers, plotters, and other systems that handle text and image processing tasks

TeleBench - Telecommunications processors in modem, xDSL, and related fixed-telecom applications


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 16:39 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июн 25, 2009 11:12
Сообщения: 412
Благодарил (а): 41 раз.
Поблагодарили: 8 раз.
chess писал(а):
Простой пример:
Код:
DO I 4 < IF f1 ELSE f2 THEN LOOP

После того как I станет больше 3 структура цикла должна стать такой
Код:
DO f2 LOOP

Это как, программа, которая на ходу оптимизирует машину? :mrgreen:
Ведь программе-оптимизатору быстродействия не хватит.
В сущности, сейчас эту оптимизацию уже делают BTB и trace cache.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 17:02 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июл 20, 2006 11:31
Сообщения: 2168
Откуда: Екб
Благодарил (а): 0 раз.
Поблагодарили: 41 раз.
dynamic-wind писал(а):
Это как, программа, которая на ходу оптимизирует машину?

Именно так.
Машина и программа - это одно целое.
dynamic-wind писал(а):
Ведь программе-оптимизатору быстродействия не хватит.В сущности, сейчас эту оптимизацию уже делают BTB и trace cache.

Не совсем это то. Далеко не все учитывает.
Программа модифицирует саму себя - это легко сделать и сейчас(в форте в ряде случаев даже быстрее чем передача управления в заранее сформированный измененный фрагмент программы).
Программа модифицирует машину на уровне железа - тому много методов уже сейчас ( подключение доп. ядер по ходу, инициация
функциональных блоков).

_________________
С уважением, chess


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 19:29 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
вопрос писал(а):
Другими словами - если никогда не понадобится такая экономия - для чего в ней упражняться?


A кто сказал, что она никогда не понадобится? Дядя Федя?

Экономия в логическом железе на фоне диких объемов памяти вполне себе имеет смысл. Если задача не требует диких скоростей, а нужно программное управление с минимальным потреблением, то экономия железа имеет прямой смысл. Память (особенно статика) жрет куда меньше, чем молотилка.

К тому же, описанный в этой теме процессор вовсе не ограничен 16-ю командами.
Одним росчерком пера (ладно, десятком росчерков) систему команд можно расширить хоть до 32, хоть до 256... Да хоть до 64К! Встанет вопрос, а чего туда пихать. Вот тут то эксперименты с минимальным набором и помогут понять, чего в дополнительный набор надо пихать. А именно то, что на минимальном трудно получается.

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пн фев 21, 2011 19:33 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
chess писал(а):
ПЛИС пока этого обеспечить не может.


Тоже совершенно необоснованное утверждение.

Я прямо сейчас могу добавить к этому самому процу примочку, с помощью которой систему команд можно будет модифицировать на ходу. И не понадобится никаких сверхусилий... ну, добавится несколько сотен LCELL в реализацию и все.

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
Показать сообщения за:  Поле сортировки  
Начать новую тему Ответить на тему  [ Сообщений: 137 ]  На страницу Пред.  1 ... 3, 4, 5, 6, 7, 8, 9, 10  След.

Часовой пояс: UTC + 3 часа [ Летнее время ]


Кто сейчас на конференции

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 19


Вы не можете начинать темы
Вы можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
phpBB сборка от FladeX // Русская поддержка phpBB