Forth и другие саморасширяющиеся системы программирования Locations of visitors to this page
Текущее время: Вт мар 19, 2024 12:25

...
Google Search
Forth-FAQ Spy Grafic

Часовой пояс: UTC + 3 часа [ Летнее время ]




Начать новую тему Ответить на тему  [ Сообщений: 137 ]  На страницу Пред.  1 ... 6, 7, 8, 9, 10  След.
Автор Сообщение
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Чт фев 24, 2011 19:21 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июл 20, 2006 11:31
Сообщения: 2168
Откуда: Екб
Благодарил (а): 0 раз.
Поблагодарили: 41 раз.
Хищник писал(а):
Да при чем тут компиляция-то? Процессор в runtime будет из памяти читать два поля, из которых реально нужно только одно?

Кто-то что-то не понял. Никакого чтения в runtime нет. Структуры управления статические в плане их локализации в адресном пространстве, поэтому координаты точек передачи управления в runtime не меняются, а переключаются в заранее вычисленные значения(вычисленные во время компиляции).

_________________
С уважением, chess


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Чт фев 24, 2011 19:52 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
chess писал(а):
При компиляции долго загружаем 'изб. сведения', зато потом быстро
на ходу быстро переключаем конфигурацию структур управления.


A не проще "быстро-быстро" сразу все вычислить и сказать BYE?

Что такое это ваше "быстро на ходу"? Быстро - только кошки родятся (с)...

любое переключение опций команды перехода займет свое время, и возникает вопрос - а нафига? Зачем тратить время на непонятную модификацию, если проще сразу два варианта кода написать и переключаться между ними напрямую, без извращений?

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Чт фев 24, 2011 20:17 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7958
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
chess писал(а):
Кто-то что-то не понял. Никакого чтения в runtime нет. Структуры управления статические в плане их локализации в адресном пространстве, поэтому координаты точек передачи управления в runtime не меняются, а переключаются в заранее вычисленные значения(вычисленные во время компиляции).

В контексте обсуждения процессора "переключение" означает некоторую операцию. Кто ее делает и когда?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Чт фев 24, 2011 20:56 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июл 20, 2006 11:31
Сообщения: 2168
Откуда: Екб
Благодарил (а): 0 раз.
Поблагодарили: 41 раз.
WingLion писал(а):
любое переключение опций команды перехода займет свое время, и возникает вопрос - а нафига? Зачем тратить время на непонятную модификацию, если проще сразу два варианта кода написать и переключаться между ними напрямую, без извращений?

При этом примерно столько раз нужно повторить почти одинаковый код сколько вариантов структур управления можно получить из исходной структуры - слишком расточительно, тем более что команды управления будут в этих копиях программной памяти занимать мизерный объем.
Кроме того само переключение структуры управления длится ограниченное время, а затем по этой структуре очень долго бегает процессор в цикле(это одно из условий целесообразности таких переключаемых структур)

_________________
С уважением, chess


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Чт фев 24, 2011 21:00 
Не в сети
Аватара пользователя

Зарегистрирован: Чт июл 20, 2006 11:31
Сообщения: 2168
Откуда: Екб
Благодарил (а): 0 раз.
Поблагодарили: 41 раз.
Хищник писал(а):
В контексте обсуждения процессора "переключение" означает некоторую операцию. Кто ее делает и когда?

Делает программа, которая анализирует свое состояние. Анализ состояния программы это ее часть.

_________________
С уважением, chess


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Чт фев 24, 2011 21:24 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7958
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
chess писал(а):
Делает программа, которая анализирует свое состояние. Анализ состояния программы это ее часть.

Еще раз - в контексте обсуждения процессора понятие "делает программа" лишено смысла. Какая программа? На хосте, на целевой системе? И что означает "делает" - компилирует или модифицирует код, либо только исполняет? Термин "анализ состояния программы" тоже аморфен и подходит для низкосортной фантастики, когда робот механическим голосом произносит "а-на-ли-зи-ру-ю", при этом из его головы исходит желтый луч.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Чт фев 24, 2011 22:14 
Не в сети
Аватара пользователя

Зарегистрирован: Вт ноя 06, 2007 21:23
Сообщения: 227
Откуда: Екатеринбург
Благодарил (а): 4 раз.
Поблагодарили: 7 раз.
Извините что запоздало реагирую, но...
Развели тут , будто один человек решит проблему целых корпораций!
Мое мнение простое такое : человек поставил цель и реализовал ее. А хорошо или плохо?! покажет возможность применения. тут уже было отмечено, что для каждой задачи свое.
Да там примерчик команд были слегка неудачны. Они относится к верхнему уровню кодирования алгоритма, а не к тому (некто МУ) что тут проектируют. Особенно этот вот
Код:
DO I 4 < IF f1 ELSE f2 THEN LOOP

тут явно напрашивается два цикла и отсутсвие оператора ветвления.
Код:
IF f1 ELSE f2 THEN

всегда выполнение ветвелния можно заменить вычислением адреса подпрограммы, который может храниться в таблице.
Память микропрограмм всем в помощь :roll:


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Пт фев 25, 2011 06:19 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
chess писал(а):
При этом примерно столько раз нужно повторить почти одинаковый код сколько вариантов структур управления можно получить из исходной структуры


Не столько, сколько можно, а столько, сколько НУЖНО! На кой черт все варианты перебирать, когда они все не нужны?

chess писал(а):
слишком расточительно


Да, расточительно тратить LCELL-ы на то, что можно заменить байтиками в наружной NAND-Flash.
Mикросхема на 256мегабайт (K9F2G08) стоит сейчас 500рэ. Примерно столько же стоит ПЛИС-ка на 570LCELL. И получается, что 1LCELL по цене столько же, сколько 0.5 мегабайт в ПЗУ.
И что расточительнее, программу написать правильно или железо наворачивать для непонятно чего?

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Вт ноя 06, 2018 19:40 
Не в сети
Moderator
Moderator
Аватара пользователя

Зарегистрирован: Ср дек 06, 2006 09:23
Сообщения: 660
Благодарил (а): 7 раз.
Поблагодарили: 25 раз.
ok....

что-то осеннее обострение, что ли.....или, так сказать, ситуация назрела....хз, в общем....

и так....вопрос почти ребром

за час - не за час....но хотя б за пару дней при минусовом знании сред и языков такое реально?)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Чт ноя 08, 2018 05:57 
Не в сети
Moderator
Moderator
Аватара пользователя

Зарегистрирован: Ср дек 06, 2006 09:23
Сообщения: 660
Благодарил (а): 7 раз.
Поблагодарили: 25 раз.
стало понятно, что вот так просто переключиться со встраиваемого софта на описание железа или его частей на HDL - довольно тяжко....мозг закостенел и сопротивляется.
Поэтому....пошёл по пути времен первого освоения форта - смоирим чужие процы, компилируем, выбираем самый простой и понятный, модифицируем)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Чт ноя 08, 2018 11:36 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7958
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Лучше освоить простейший движок. Чужие процессоры сделаны (как правило) теми, кто уже разобрался и настроил мозг на нужную волну.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Чт ноя 08, 2018 13:19 
Не в сети
Moderator
Moderator
Аватара пользователя

Зарегистрирован: Ср дек 06, 2006 09:23
Сообщения: 660
Благодарил (а): 7 раз.
Поблагодарили: 25 раз.
ну не кидается же нормальный человек после прочтения Баранова и запуска ." Hello world!" на SPF-е писать свой Форт?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Чт ноя 08, 2018 14:05 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7958
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Два регистра и АЛУ, в двухтактной схеме - вполне реалистично. Форт, конечно, надо проектировать, как и хороший процессор. Но я даже для Форта склоняюсь к тому, что проще изучать его, самостоятельно воспроизводя отдельные компоненты. Тогда не будет вопросов "а зачем тут так?".


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Чт ноя 08, 2018 14:56 
Не в сети
Moderator
Moderator
Аватара пользователя

Зарегистрирован: Ср дек 06, 2006 09:23
Сообщения: 660
Благодарил (а): 7 раз.
Поблагодарили: 25 раз.
часть инсайдерской информации я получил :wink:
Несколько вопросов -"а почему?" должны уйти)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: процессор за час
СообщениеДобавлено: Сб ноя 10, 2018 15:24 
Не в сети

Зарегистрирован: Пн янв 07, 2013 22:40
Сообщения: 2141
Благодарил (а): 8 раз.
Поблагодарили: 74 раз.
Может окажется полезным и такой учебный проект создания процессора с нуля.
Nand2Tetris

P.S. On Github
Есть и какой то форум обсуждения. Внутри виртуальная стековая машина.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
Показать сообщения за:  Поле сортировки  
Начать новую тему Ответить на тему  [ Сообщений: 137 ]  На страницу Пред.  1 ... 6, 7, 8, 9, 10  След.

Часовой пояс: UTC + 3 часа [ Летнее время ]


Кто сейчас на конференции

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 1


Вы не можете начинать темы
Вы можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

cron
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
phpBB сборка от FladeX // Русская поддержка phpBB