Forth
http://fforum.winglion.ru/

Xilinx Spartan KIT SP601
http://fforum.winglion.ru/viewtopic.php?f=3&t=2599
Страница 4 из 4

Автор:  Hishnik [ Вс ноя 21, 2010 01:50 ]
Заголовок сообщения:  Re: Xilinx Spartan KIT SP601

WingLion писал(а):
VHDL файлы компилить-то я научился,
а вот как делать из исходника на VHDL символьный
элемент для размещения на схеме - не нашел.

Процесс Design Utilities -> Create Schematic Symbol. Полученный компонент окажется в группе с тем же именем, что и папка проекта. Если его там нет, схему надо закрыть и заново открыть - это такое поведение ISE, там с обновлением списка проблемка.

Автор:  WingLion [ Вс ноя 21, 2010 11:22 ]
Заголовок сообщения:  Re: Xilinx Spartan KIT SP601

Хищник писал(а):
http://www.msyst.ru/ISE_bramgen.pdf


ма-ленькая поправочка...

На первой странице пдф-а изображено окошко после запуска корегенератора.
Наверно, это от более старой версии. В ISE 12.1 окошко выглядит иначе.
Слева такой же выбор IP, а справа типа описание. И, чтобы запустить дальше, кнопки "Next"-то и нету.
Запустилось двойным кликом на выбранном IP. А дальше все как описано.

Как-то долго оно генерит. Так и должно быть? Уже и пост написал, и по форуму прошелся, а оно еще только на 22%...
ждемс...
53%...
блок-то 4Kx16 всего лишь...
о...
закончилось...
и напугало окошком с кучей сообщений, без ярко выделенного "ОК"...
нефортово как-то ;)

Автор:  WingLion [ Вс ноя 21, 2010 11:56 ]
Заголовок сообщения:  Re: Xilinx Spartan KIT SP601

Кстати, сгенерированный блок куда-то запропастился :(
Не явился ни в списке файлов, ни в списке символьных элементов...
короче, был таков...
Не помогла и перезагрузка ISE, хотя, с символом из своего VHDL-исходника и перезагружать ISE не потребовалось - он появился в списке символов сразу.

И еще вопросик. Как удалить неудачно созданный символ?
А то он мне создал символ из пустого файла (выглядит он как линия, подписанная названием символа) и теперь каждый раз ругается на него при компиляции всего проекта, хотя в схему его даже не вставлял.

Автор:  Hishnik [ Вс ноя 21, 2010 17:48 ]
Заголовок сообщения:  Re: Xilinx Spartan KIT SP601

WingLion писал(а):
На первой странице пдф-а изображено окошко после запуска корегенератора.
Наверно, это от более старой версии. В ISE 12.1 окошко выглядит иначе.

Это была 12.3
WingLion писал(а):
Как-то долго оно генерит. Так и должно быть?

Вообще да, оно на tcl написано, поэтому неторопливое. Тем более если есть файлик с инициализацией.
WingLion писал(а):
Кстати, сгенерированный блок куда-то запропастился
Не явился ни в списке файлов, ни в списке символьных элементов...
короче, был таков...

Да, бывают глюки. Требуется перегенерация.
WingLion писал(а):
И еще вопросик. Как удалить неудачно созданный символ?

Delete. Если не хочет, можно вызвать правой кнопкой контекстное меню (на нужном компоненте), и там есть пункт Remove.

Страница 4 из 4 Часовой пояс: UTC + 3 часа [ Летнее время ]
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
http://www.phpbb.com/