Forth и другие саморасширяющиеся системы программирования Locations of visitors to this page
Текущее время: Вт мар 19, 2024 09:54

...
Google Search
Forth-FAQ Spy Grafic

Часовой пояс: UTC + 3 часа [ Летнее время ]




Начать новую тему Ответить на тему  [ Сообщений: 49 ]  На страницу 1, 2, 3, 4  След.
Автор Сообщение
 Заголовок сообщения: Xilinx Spartan KIT SP601
СообщениеДобавлено: Чт май 13, 2010 19:49 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Старая тема топика "Xilinx Spartan KIT SP601: стоит ли покупать?" - укорочена для ясности.

Вопрос в теме топика.

Можно ли на этом ките gigabit ethernet и насколько просто/сложно?

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Xilinx Spartan KIT SP601: стоит ли покупать?
СообщениеДобавлено: Чт май 13, 2010 20:05 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7958
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Сделать можно, PHY стоит на 10/100/1000. Но в чипе нет аппаратного EMAC, для него нужно покупать более дорогую SP-605 на LXT45, там есть аппаратные трансиверы. Без этого придется пользоваться софт-ядром MAC, там надо будет узнать с лицензированием.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Xilinx Spartan KIT SP601: стоит ли покупать?
СообщениеДобавлено: Сб май 29, 2010 20:51 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Кстати, я решился таки его взять...
Уже договорился по телефону, и в понедельник поеду забирать в InlineGroup...

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Xilinx Spartan KIT SP601: стоит ли покупать?
СообщениеДобавлено: Пн май 31, 2010 16:05 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Вот и забрал. Теперь начинаются первые вопросы.

1. Какую версию ISE лучше ставить?
Tу, что с китом на диске ISE 11.1 или сразу брать ISE 12.1 с сайта xilinx.com?
А то слухи были, что в новой версии чего-то поломали и не починили...

2. Будут ли проблемы, если ставить ISE не на C:, а куда-нибудь на E: или F:?
A то, на C: у меня такая куча-мала, из всякого софта, что места нет.
С F: какой-нибудь сериал сотру, и десяток другой гигов освободится.

3. Дает ли приобретение платы какие-нибудь привилегии по лицензиям относительно веб-версии ISE?

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Xilinx Spartan KIT SP601: стоит ли покупать?
СообщениеДобавлено: Пн май 31, 2010 16:56 
Не в сети
Аватара пользователя

Зарегистрирован: Вт мар 20, 2007 23:39
Сообщения: 1261
Благодарил (а): 3 раз.
Поблагодарили: 19 раз.
WingLion писал(а):
2. Будут ли проблемы, если ставить ISE не на C:, а куда-нибудь на E: или F:?A то, на C: у меня такая куча-мала, из всякого софта, что места нет.С F: какой-нибудь сериал сотру, и десяток другой гигов освободится.

У меня стоит не на C - никаких проблем не наблюдается.

_________________
Cтоимость сопровождения программного обеспечения пропорциональна квадрату творческих способностей программиста.
Роберт Д. Блисc


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Xilinx Spartan KIT SP601: стоит ли покупать?
СообщениеДобавлено: Пн май 31, 2010 17:02 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7958
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
WingLion писал(а):
1. Какую версию ISE лучше ставить?
Tу, что с китом на диске ISE 11.1 или сразу брать ISE 12.1 с сайта xilinx.com?
А то слухи были, что в новой версии чего-то поломали и не починили...

Формально, 12-я наиболее свежа. Но, как обычно, надо искать глюки. Я бы поставил 11.1 и обновил до 11.5 (сервис-паки кумулятивны, можно сразу ставить пятый). Собственно, если на диске именно 11.1, то это странно, поскольку шестерки поддерживаются с 11.2. Можно держать одновременно две версии, так что 11-я не исключает 12-ю (у меня так и стоит).
WingLion писал(а):
2. Будут ли проблемы, если ставить ISE не на C:, а куда-нибудь на E: или F:?
A то, на C: у меня такая куча-мала, из всякого софта, что места нет

Да, можно. Главное, чтобы в путях не было пробелов и русских символов.
WingLion писал(а):
3. Дает ли приобретение платы какие-нибудь привилегии по лицензиям относительно веб-версии ISE?

Там в меню Help есть менеджер лицензий, можно посмотреть. Лицензий на ядра сейчас развелось очень много, но обычно для китов принцип такой - все, что есть на плате, поддержано лицензиями. Что касается гигабитного Ethernet, то я этот вопрос как-то изучал, ответ был в том смысле, что evaluation версия вполне пригодна для использования, и запреты на коммерческое применение лежат больше в организационной плоскости (читай - если Xilinx заметит массовое производство изделий с GbE, то предъявит претензии). Что касается лицензий на кристаллы, то веб-версия поддерживает то, что на плате, и даже выше. Отдельного лицензирования на это не требуется.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Xilinx Spartan KIT SP601: стоит ли покупать?
СообщениеДобавлено: Вс окт 24, 2010 16:27 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Развел вот такую схемку на платку размером 60мм x 40мм:
Теперь надо искать место, где изготовить платку.

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Xilinx Spartan KIT SP601: стоит ли покупать?
СообщениеДобавлено: Вс окт 24, 2010 16:56 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Вот так выглядит разводка


Вложения:
Комментарий к файлу: нижняя сторона в формате JPEG - не для лазерно-утюжной технологии
VGA_PS2_bot.jpg
VGA_PS2_bot.jpg [ 76.7 Кб | Просмотров: 28871 ]
Комментарий к файлу: верхняя сторона в формате JPEG - не для лазерно-утюжной технологии
VGA_PS2_top.jpg
VGA_PS2_top.jpg [ 104.71 Кб | Просмотров: 28871 ]

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)
Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Xilinx Spartan KIT SP601: стоит ли покупать?
СообщениеДобавлено: Вс окт 24, 2010 17:03 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
прибамбас для подсоединения к 12-пиновому разъемчику,
который тут на картинке виден сверху, слева от USB-шного разъема.


Изображение

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Xilinx Spartan KIT SP601: стоит ли покупать?
СообщениеДобавлено: Вс окт 24, 2010 17:29 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
итак, на разъеме имеется 8 сигналов I/O две земли и два вывода питания 3.3В, поэтому возникнет некоторый вопрос с питанием клавиатуры ps/2, которая требует 5 вольт.

Об этом пока можно забыть, и сейчас подумать, как вывести 12-битный цвет и 2 бита синхронизации, итого 14 бит.

решение видится следующее. на один I/O подается синхронизация clk50MHz, на 7 остальных подаются мультиплексированные сигналы данных, первые полпериода
подаются 7 бит, вторые - еще семь бит.

на AHDL описание схемы в плис будет выглядеть примерно так:

Код:
SUBDESIGN VGA_PS2(
    clk50MHz : input;
    DATA[7..1] : input;
    R[3..0]  : output;
    G[3..0]  : output;
    B[3..0]  : output;
    H_SINC,VSINC : output;
)
VARIABLE
    DREG[6..0] : DFF;
    CREG[13..0] : DFF;
BEGIN
    DREG[].clk = clk50MHz;
    CREG[].clk = !clk50MHz;
    DREG[] = DATA[7..1];
    CREG[] = (DATA[7..1],DREG[]);
    R[] = CREG[3..0];
    G[] = CREG[7..4];
    B[] = CREG[11..8];
    H_SINC = CREG[12];
    V_SINC = CREG[13]

END


В дальнейшем, для работы с PS/2 понадобится ввести 2 сигнала и вывести 2 сигнала.
Так как PS/2 низкоскоростной интерфейс (~1Kbod), эти сигналы можно спокойно вводить и выводит во время строчного синхроимпульса, когда RGB-выходы надо просто занулять, а сигналы DATA[], соответствующие им, можно использовать для ввода и вывода дополнительных сигналов.

С питанием PS/2 можно понадеяться, что какие-нибудь китайские клавиатуры заработают и при 3-вольтовом питании. А можно
добавить в схему преобразователь 3.3В->5.0В для клавиатуры.

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Xilinx Spartan KIT SP601: стоит ли покупать?
СообщениеДобавлено: Вс окт 24, 2010 23:58 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
WingLion писал(а):
Развел вот такую схемку на платку размером 60мм x 40мм:
Теперь надо искать место, где изготовить платку.

Ты имел в виду 44–Pin TQFP?
Скинь мне в гербере. Попробую с первого числа сделать.

_________________
Сотник. SotnikSTO@mail.ru


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Xilinx Spartan KIT SP601
СообщениеДобавлено: Пн окт 25, 2010 14:22 
Первое включение SP601 прошло нормально.
С установкой драйвера SiLab пришлось помучаться.
Правильный драйвер нашелся с 4-го раза.

После его установки плата завелась,
коннект через VCP установился, тесты прошли успещно.

Застрял на программировании - не нашел, где программатор из ISE вызывать. :(


Вернуться к началу
  
Ответить с цитатой  
 Заголовок сообщения: Re: Xilinx Spartan KIT SP601: стоит ли покупать?
СообщениеДобавлено: Пн окт 25, 2010 14:25 
Sotnik писал(а):
WingLion писал(а):
Развел вот такую схемку на платку размером 60мм x 40мм:
Теперь надо искать место, где изготовить платку.

Ты имел в виду 44–Pin TQFP?
Скинь мне в гербере. Попробую с первого числа сделать.


Скину чуть позже, надо еще кусочек схемы доразвести.


Вернуться к началу
  
Ответить с цитатой  
 Заголовок сообщения: Re: Xilinx Spartan KIT SP601
СообщениеДобавлено: Пн окт 25, 2010 18:41 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7958
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
WingLion_from_Work писал(а):
Застрял на программировании - не нашел, где программатор из ISE вызывать. :(

Пункт Configure Target Device в списке процессов (в списке модулей проекта выбрать модуль верхнего уровня, тогда для него будут предложены процессы: Synthesize, Implement Design, Generate Programming File, Configure Target Device). Выбор последнего и запускает программатор. Если в его окне ничего нет, Ctrl+I инициализирует JTAG.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: Xilinx Spartan KIT SP601
СообщениеДобавлено: Пн окт 25, 2010 18:48 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Вот, на Generate programming files оно и закончилось... Что-то сгенерилось, но непонятно что, Configure Target Device вызывает iMPACT, а тот оказывается в прострации из-за того, что не знает, что ему делать...
И я не знаю, как ему сказать, потому что "тупо открыть файл" не удается, потому что нету, чего открывать в папке проекта... ;(

А как нужное сгенерировать - не ясно совершенно...

В общем, нужна четкая пошаговая инструкция для прохождения этого квеста...

А то, сегодня едва мозги не вывихнул, пока не сумел подключить тот самый ucf файл к тестовому проекту, а теперь и с программатoром подобная свистопляска... :( Надо идти туда, не знаю куда, сделать то, не знаю что, не понимая зачем и т.д. и т.п. :weep;

У Альтеры с этим как-то проще...

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
Показать сообщения за:  Поле сортировки  
Начать новую тему Ответить на тему  [ Сообщений: 49 ]  На страницу 1, 2, 3, 4  След.

Часовой пояс: UTC + 3 часа [ Летнее время ]


Кто сейчас на конференции

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 1


Вы не можете начинать темы
Вы можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

cron
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
phpBB сборка от FladeX // Русская поддержка phpBB