Forth и другие саморасширяющиеся системы программирования Locations of visitors to this page
Текущее время: Вт мар 19, 2024 12:21

...
Google Search
Forth-FAQ Spy Grafic

Часовой пояс: UTC + 3 часа [ Летнее время ]




Начать новую тему Ответить на тему  [ Сообщений: 7 ] 
Автор Сообщение
 Заголовок сообщения: VHDL: вопросы
СообщениеДобавлено: Чт фев 03, 2011 20:19 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Сегодня разбирался с использованием пакетов (не пластиковых!) в VHDL.

На сколько я понял, пакеты в VHDL предназначены для введения новых типов, констант и функций. В пакете невозможно создать кусок схемы, например, объявить регистры или иные части электронной схемы и описать их работу.

Поэтому, первый вопрос: Так ли это?

Пытался сделать части процессора внутри пакета. И не получилось. :(

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: вопросы
СообщениеДобавлено: Чт фев 03, 2011 23:44 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7958
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
WingLion писал(а):
На сколько я понял, пакеты в VHDL предназначены для введения новых типов, констант и функций. В пакете невозможно создать кусок схемы, например, объявить регистры или иные части электронной схемы и описать их работу.

Поэтому, первый вопрос: Так ли это?

Да. VHDL поощряет структуризацию проектов, коллективную работу и повторное использование кода.
WingLion писал(а):
Пытался сделать части процессора внутри пакета. И не получилось.

И не должно, туда можно класть только перечисленное выше - типы, константы, функции, процедуры...


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: вопросы
СообщениеДобавлено: Пт фев 04, 2011 07:03 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
А как сделать нечто вроде пакета с компонентами? Чтобы не вписывать каждый внутрь главного файла проекта?
Типа, процессор в одном файле, параллельный порт в другом, пульт управления ядерной ракетой в третьем... ?? А в главном только их межсоединения.

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: вопросы
СообщениеДобавлено: Пт фев 04, 2011 13:44 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7958
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
WingLion писал(а):
А как сделать нечто вроде пакета с компонентами? Чтобы не вписывать каждый внутрь главного файла проекта?
Типа, процессор в одном файле, параллельный порт в другом, пульт управления ядерной ракетой в третьем... ?? А в главном только их межсоединения.

Так и писать - просто разные компоненты, по одному в файле. Потом для такого неосновного компонента можно запустить пунктик View instantiation template (в разделе Design utilities), и он покажет, что нужно написать в главном файле, чтобы была ссылка на компонент. Будет что-то вроде.

Код:
architecture Myarch of top_level is

-- это мы скопипастили
component pult_upravlenia
port(clk : in std_logic;
       knopka_puska: in std_logic;
       raketa_poshla : out std_logic
      );
end component; -- забыл, добавил в правке, но это все в шаблоне есть

begin

-- Это мы тоже почти скопипастили, надо только назвать экземпляр пульта
-- и заполнить правую часть портов, указав там имена цепей
DD1_glavniy_pult : pult_upravlenia
port map ( clk => system_clock,
               knopka_puska => button1,
               raketa_poshla => led1
             );

DD2_glavniy_pult : pult_upravlenia
port map ( clk => system_clock,
               knopka_puska => button2,
               raketa_poshla => led2
             );


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: вопросы
СообщениеДобавлено: Пт фев 04, 2011 18:03 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
У меня проблемс был не в том, как его подключить, собственно, а в том, что

Цитата:
entity ....

-- и так далее все описание архитектуры


приходилось вписывать в конец основного исходника, а это просто мешает.

ладно, я пошел новый пост постить... просто горит синим пламенем очередная идея...

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: вопросы
СообщениеДобавлено: Пт фев 04, 2011 18:23 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7958
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
WingLion писал(а):
приходилось вписывать в конец основного исходника, а это просто мешает.

Нет, это не надо. В основном исходнике только component и port map для него. А сам компонент в отдельном файле.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения: Re: VHDL: вопросы
СообщениеДобавлено: Пт фев 04, 2011 18:43 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7958
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Единственный момент - такой сложный тип может не лечь в стандартные шаблоны. Это важно для Xilinx, у которого есть распределенная память, Altera должна проглотить и так.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
Показать сообщения за:  Поле сортировки  
Начать новую тему Ответить на тему  [ Сообщений: 7 ] 

Часовой пояс: UTC + 3 часа [ Летнее время ]


Кто сейчас на конференции

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 1


Вы не можете начинать темы
Вы можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

cron
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
phpBB сборка от FladeX // Русская поддержка phpBB