Forth и другие саморасширяющиеся системы программирования Locations of visitors to this page
Текущее время: Сб апр 20, 2024 07:29

...
Google Search
Forth-FAQ Spy Grafic

Часовой пояс: UTC + 3 часа [ Летнее время ]




Начать новую тему Ответить на тему  [ Сообщений: 17 ]  На страницу 1, 2  След.
Автор Сообщение
 Заголовок сообщения: на каком HDL надо писать
СообщениеДобавлено: Пт фев 06, 2009 18:52 
Не в сети
Moderator
Moderator
Аватара пользователя

Зарегистрирован: Чт май 04, 2006 00:53
Сообщения: 5062
Откуда: был Крым, теперь Новосибирск
Благодарил (а): 23 раз.
Поблагодарили: 63 раз.
На каком HDL надо писать, чтобы проект можно было собирать на различных платформах: Altera, Xilinx и пр. ?
В смысле, я хочу иметь ядрышко, которое можно собрать и там и там, хотя бы для того, чтобы сравнить эффективность решения для разных платформ. Как лучше поступать?

_________________
Мне бы только мой крошечный вклад внести,
За короткую жизнь сплести
Хотя бы ниточку шёлка.
fleur


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пт фев 06, 2009 18:55 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
VHDL или Verilog


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пт фев 06, 2009 18:57 
Не в сети
Moderator
Moderator
Аватара пользователя

Зарегистрирован: Чт май 04, 2006 00:53
Сообщения: 5062
Откуда: был Крым, теперь Новосибирск
Благодарил (а): 23 раз.
Поблагодарили: 63 раз.
Хищник писал(а):
VHDL или Verilog

а что для этого лучше?
и как с параметризацией дело обстоит? в смысле можно примеры где-нить надыбать проекта(небольшого), который собирается на разных ПЛИС?

_________________
Мне бы только мой крошечный вклад внести,
За короткую жизнь сплести
Хотя бы ниточку шёлка.
fleur


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пт фев 06, 2009 19:04 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
да, VHDL.

я вот, собираюсь свой последний процессор на vhdl переводить. Для того чтобы проверить дает ли vhdl прибавку в эффективности проектирования по отношению к AHDL, а заодно попытаться одну и ту же схему на альтере и ксайлинксе развести и сравнить.

Одно но. На vhdl у меня опыта 3 часа и 15 мин, поэтому результат будет, разве что когда рак на горе свистнет после дождичка в чертверг или, когда Петух Жареный клюнет.

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пт фев 06, 2009 19:16 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Ну так это... может, темку с разбором основных конструкций? Этакая распределенная статья. Потому что вываливать материал без обратной связи как-то не очень продуктивно и весело.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пт фев 06, 2009 19:20 
Не в сети
Moderator
Moderator
Аватара пользователя

Зарегистрирован: Чт май 04, 2006 00:53
Сообщения: 5062
Откуда: был Крым, теперь Новосибирск
Благодарил (а): 23 раз.
Поблагодарили: 63 раз.
Хищник писал(а):
Ну так это... может, темку с разбором основных конструкций?

да можно :) если желание есть

_________________
Мне бы только мой крошечный вклад внести,
За короткую жизнь сплести
Хотя бы ниточку шёлка.
fleur


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пт фев 06, 2009 19:23 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
mOleg писал(а):
да можно если желание есть

Так желание-то не у меня должно быть.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пт фев 06, 2009 19:26 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Кстати, у меня ту PDF-чик на полтора мега завалялся с курсом vhdl, который в ф."Эфо" читали.
Могу на e-mail выслать кому интересно.

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пт фев 06, 2009 19:28 
Не в сети
Moderator
Moderator
Аватара пользователя

Зарегистрирован: Чт май 04, 2006 00:53
Сообщения: 5062
Откуда: был Крым, теперь Новосибирск
Благодарил (а): 23 раз.
Поблагодарили: 63 раз.
Хищник писал(а):
Так желание-то не у меня должно быть.

ээм, вопрос звучал иначе: есть ли пример проекта (под рукой или на примере) с параметризацией схемы под разные ПЛИС.
Если по этому поводу есть статья, то ее тоже можно подкинуть. Можно и на англицком.

_________________
Мне бы только мой крошечный вклад внести,
За короткую жизнь сплести
Хотя бы ниточку шёлка.
fleur


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пт фев 06, 2009 19:33 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
mOleg писал(а):
ээм, вопрос звучал иначе: есть ли пример проекта (под рукой или на примере) с параметризацией схемы под разные ПЛИС.

Это несколько неправильная постановка вопроса. Параметризация модулей на hdl преследует цель изменения каких-либо параметров (с повторной трансляцией) без необходимости переписывания всего модуля. Например, с помощью изменения одной величины превратить 8-битный счетчик в 16-битный.
Базовые конструкции могут быть синтезированы в различных САПР и под разные аппаратные платформы. Параметризация тут ни при чем. Отдельным вопросом является использование vendor-specific компонентов, но это в любом случае является расширением языка, совместимость которого с остальными производителями отсутствует по определению. Например, можно прямо указать на необходимость использования блока Digital Clock Manager из семейства Virtex-4. Это не будет иметь соответствий не только в Altera, но и просто в другом семействе Xilinx.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пт фев 06, 2009 19:39 
Не в сети
Moderator
Moderator
Аватара пользователя

Зарегистрирован: Чт май 04, 2006 00:53
Сообщения: 5062
Откуда: был Крым, теперь Новосибирск
Благодарил (а): 23 раз.
Поблагодарили: 63 раз.
понятно.
но есть какая-нибудь стратегия, позволяющая минимизировать количество переделок при переходе на другие семейства ПЛИС.
Ну, вот, к примеру, что бы Хищник сделал, если бы решил свой проц с ксайлинкса перенести на Альтеру?

_________________
Мне бы только мой крошечный вклад внести,
За короткую жизнь сплести
Хотя бы ниточку шёлка.
fleur


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пт фев 06, 2009 19:53 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
mOleg писал(а):
Ну, вот, к примеру, что бы Хищник сделал, если бы решил свой проц с ксайлинкса перенести на Альтеру?


В ISE шаблон vhdl по умолчанию содержит такие строки.

Код:
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;


Все специфичное для конкретной ПЛИС находится в этой библиотеке. Большинство модулей не требует ничего специфичного, и чтобы написать что-то непереносимое, надо предпринимать специальные усилия.
Далее, если делать "xilinx-altera-actel-lattice-совместимый" процессор, у него будет масса недостатков, проистекающих из того, что проектирование вынужденно велось исходя из "общего знаменателя". В то время как ориентация на конкретного производителя, а то и на конкретное семейство, позволяет сразу положить в основу конструкции нечто эффективное, что специально отмечается производителем для этого семейства.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пт фев 06, 2009 20:09 
Не в сети
Аватара пользователя

Зарегистрирован: Вт ноя 06, 2007 21:23
Сообщения: 227
Откуда: Екатеринбург
Благодарил (а): 4 раз.
Поблагодарили: 7 раз.
внесу и я свою лепту мне лично нравился язык DSL, который был в MicroSim Design. Но VHDL тоже не плох по сравнению c CUPL


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пт фев 06, 2009 20:11 
Не в сети
Moderator
Moderator
Аватара пользователя

Зарегистрирован: Чт май 04, 2006 00:53
Сообщения: 5062
Откуда: был Крым, теперь Новосибирск
Благодарил (а): 23 раз.
Поблагодарили: 63 раз.
ну, вопрос не в том, что больше нравится, а в том, на чем проще делать дизайн переносимым.

_________________
Мне бы только мой крошечный вклад внести,
За короткую жизнь сплести
Хотя бы ниточку шёлка.
fleur


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пт фев 06, 2009 20:19 
Не в сети
Аватара пользователя

Зарегистрирован: Вт ноя 06, 2007 21:23
Сообщения: 227
Откуда: Екатеринбург
Благодарил (а): 4 раз.
Поблагодарили: 7 раз.
Ответ: файл для конечной ПЛИС в JEDEC или бинариник формируется САПР на основе текста программы описывающей функционирование самой ПЛИС, а делать это можно на любом языке из предложенных.
Вывод: все завсит от САПР - сколько она знает ПЛИС, а как с ней работать должна знать программа-прошиватель.
Предварительное моделирование работы дивайса (получение временных диаграмм) тоже зависит от САПР.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
Показать сообщения за:  Поле сортировки  
Начать новую тему Ответить на тему  [ Сообщений: 17 ]  На страницу 1, 2  След.

Часовой пояс: UTC + 3 часа [ Летнее время ]


Кто сейчас на конференции

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 35


Вы не можете начинать темы
Вы можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

cron
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
phpBB сборка от FladeX // Русская поддержка phpBB