Forth и другие саморасширяющиеся системы программирования Locations of visitors to this page
Текущее время: Вт апр 16, 2024 16:00

...
Google Search
Forth-FAQ Spy Grafic

Часовой пояс: UTC + 3 часа [ Летнее время ]




Начать новую тему Ответить на тему  [ Сообщений: 93 ]  На страницу Пред.  1, 2, 3, 4, 5, 6, 7  След.
Автор Сообщение
 Заголовок сообщения:
СообщениеДобавлено: Чт окт 15, 2009 00:36 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Скачал доку на Spartan-3, есть в корпусе TQFP144 максимум XC3S400 с ценой в $30-40.
А Altera что может предложить?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Чт окт 15, 2009 00:38 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Sotnik писал(а):
Скачал доку на Spartan-3, есть в корпусе TQFP144 максимум XC3S400 с ценой в $30-40.

Ну перебор явный. Мы такое дешевле покупаем. Откуда взялась цена?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Чт окт 15, 2009 00:46 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Хищник писал(а):
Sotnik писал(а):
Скачал доку на Spartan-3, есть в корпусе TQFP144 максимум XC3S400 с ценой в $30-40.

Ну перебор явный. Мы такое дешевле покупаем. Откуда взялась цена?

www.efind.ru А что скажешь про Altery - или ты её не перевариваешь? :)
Вычитал: XC3S400 содержит 16 умножителей и 16 блоков памяти.
Напрашивается соответствие на 16 ядер. 16-битное ядро туда влезет?


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Чт окт 15, 2009 01:07 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Sotnik писал(а):
www.efind.ru А что скажешь про Altery - или ты её не перевариваешь?

Да не то чтобы не перевариваю, просто одна из моих работ - на российское представительство Xilinx :) Соответственно, последние новости, доступ к фирменным материалам, которые позволяют выжать из кристалла существенно больше, чем я сделал бы с Alter-ой, подойдя к ней "на общих основаниях". Ну и естественно, при формировании цены нет лишних звеньев в цепочке - на efind, как я посмотрю, накрутки страшные. Да еще имеются проблемы с серыми поставками, а там попадается ну просто откровенный брак, за который Xilinx категорически отказывается отвечать, поскольку обычно это бывают простые подделки. Altera, сразу скажу, достаточно неплоха, просто о тонкостях надо говорить много и долго, чтобы выбор был осознанным.
Sotnik писал(а):
Вычитал: XC3S400 содержит 16 умножителей и 16 блоков памяти.
Напрашивается соответствие на 16 ядер. 16-битное ядро туда влезет?

Влезет, конечно. Надо только смотреть по набору команд - можно и для 16-битного сделать такое АЛУ, что оно одно весь кристалл займет.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Чт окт 15, 2009 01:16 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Цитата:
Влезет, конечно. Надо только смотреть по набору команд - можно и для 16-битного сделать такое АЛУ, что оно одно весь кристалл займет.

Значит ты "повязан". И по чём у тебя можно такой кристалл выпросить? :)
У одного ядра будет 2К*16 RAM? Загрузчик есть внутри? Его записать и всё?
Скачал "Зотов - Проектирование цифровых устройств на основе ПЛИС XILINX.djvu"
А прогу моделирования на сайте не отдают - хотят как-то меня зарегистрировать...
У тебя есть схема разводе\ки этого камня - посмотреть хоть как оно всё выглядит.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Чт окт 15, 2009 01:35 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Sotnik писал(а):
Значит ты "повязан". И по чём у тебя можно такой кристалл выпросить?

Я к продажам отношения принципиально не имею, но дороже 30$ оно быть не должно. Сейчас цены устанавливают после регистрации проекта (которая не является обязательством выкупить по этой цене заказанное количество).
Sotnik писал(а):
У одного ядра будет 2К*16 RAM? Загрузчик есть внутри? Его записать и всё?
Скачал "Зотов - Проектирование цифровых устройств на основе ПЛИС XILINX.djvu"

Желательно все же почитать, что там и как, поскольку внутри все несколько сложнее, чем просто загрузчик.
Sotnik писал(а):
А прогу моделирования на сайте не отдают - хотят как-то меня зарегистрировать...

Это бесплатно, регистрация только для сбора статистики по закачкам.
Sotnik писал(а):
У тебя есть схема разводе\ки этого камня - посмотреть хоть как оно всё выглядит.

Чип представляет собой матрицу ~8 тыс. логических ячеек :) Разводка как таковая на схему не оказывает особого влияния - желательно все же почитать минимальный обзор, что это и с чем его едят.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Чт окт 15, 2009 01:50 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Цитата:
Чип представляет собой матрицу ~8 тыс. логических ячеек :) Разводка как таковая на схему не оказывает особого влияния - желательно все же почитать минимальный обзор, что это и с чем его едят.
С ПЛИС Altera я сталкивался ещё когда они были маленькие. :) Я схему не "про внутри" а для разводки платы. Стабилизатор, загрузчик, служебные ноги, свободные I/O, какие отвести для MMC и USART оптимальнее, надо ли SDRAM или хватит внутреннего с подгрузкой нужных данных и программы, или всё это несущественно для малых скоростей? Я хочу себе сделать кит. А с ядрами можно и потом разобраться конкретно.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Чт окт 15, 2009 02:00 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Sotnik писал(а):
Я схему не "про внутри" а для разводки платы. Стабилизатор, загрузчик, служебные ноги, свободные I/O, какие отвести для MMC и USART оптимальнее, надо ли SDRAM или хватит внутреннего с подгрузкой нужных данных и программы, или всё это несущественно для малых скоростей?

Так все же на сайте есть. Там просто наиболее актуальные версии документации, на разные корпуса. Снаружи можно предусмотреть и память, а какую - надо смотреть. Spartan-3 может подключать DDR266 (DDR333 в более дорогой -5 градации скорости), но вряд ли стоит связываться с трассировкой скоростных цепей. MMC и UART неважно куда, важно питание, загрузка (JTAG), тактовый генератор (GCLK, это выделенные 8 ног).
Sotnik писал(а):
Я хочу себе сделать кит.

Вот честно - не стоит. Экономия на деле копеечная, а возни с монтажом и запуском на три стандартных платы. Оно конечно да, очень интересно, но первые варианты как правило идут на выброс.
Вот есть дешевое. http://www.plis.ru/pic/pict/File/S3StKit.pdf


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Чт окт 15, 2009 02:21 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Sotnik писал(а):
Цитата:
Я хочу себе сделать кит.

Вот честно - не стоит. Экономия на деле копеечная,

Согласен. Блин. :) Не так выразился. КИТ - это у меня и есть самостоятельное изделие.
Но т.к. я чайник - то я и называю ЭТО Кит-ом! Т.е. сначала и тренируюсь и работаю.
Цитата:
Вот есть дешевое. http://www.plis.ru/pic/pict/File/S3StKit.pdf

Вот за это спасибо. :)

Как я понимаю ты не готов разложить кристалл на много ядер. :(
И прикинуть, примерно, ТТХ. А у меня опыта, пока ещё, нет.
Я ожидал что взяв камень и у тебя готовые схемные/программные решения
неспешно (как когда-то с AVR) потренируюсь.
Давай тогда я начну с моделирования твоего одноядерного, а там посмотрим.
Полезу регистрироваться и вытягивать ISE Webpack.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Чт окт 15, 2009 02:31 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 22:48
Сообщения: 7960
Благодарил (а): 25 раз.
Поблагодарили: 144 раз.
Sotnik писал(а):
Как я понимаю ты не готов разложить кристалл на много ядер.

Почему? Прикинуть-то как раз можно, но на деле все это не так уж страшно, поэтому при наличии понимания, как оно вообще должно бы работать, можно достаточно быстро освоить само проектирование.
Sotnik писал(а):
Я ожидал что взяв камень и у тебя готовые схемные/программные решения
неспешно (как когда-то с AVR) потренируюсь.
Давай тогда я начну с моделирования твоего одноядерного, а там посмотрим.

Периодически я прямо в форуме раскладывал "на пальцах", как ПЛИС превратить в процессор. Готовые решения брать вобщем-то не следует, даже в качестве примера. Все выращивается с нуля, при этом появляется навык изготовления этого самостоятельно.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Чт окт 15, 2009 02:56 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Цитата:
Sotnik писал(а):
Как я понимаю ты не готов разложить кристалл на много ядер.

Почему? Прикинуть-то как раз можно, но на деле все это не так уж страшно, поэтому при наличии понимания, как оно вообще должно бы работать, можно достаточно быстро освоить само проектирование.


Гм. Теперь всё понятно. :)
Я не собираюсь становится спецом в проектировании процов.
Я хочу только получить многоядерный. И на нём работать!!! :)
Как если бы я взял S40C18. Но он мне не подходит.
Я не разбиваю одну задачу на много ядер - как Мур хочет,
а решаю разные задачи немного взаимодействуя через ОЗУ.
Sotnik писал(а):
Я ожидал что взяв камень и у тебя готовые схемные/программные решения
неспешно (как когда-то с AVR) потренируюсь. Давай тогда я начну с моделирования твоего одноядерного, а там посмотрим.

Вот на это я согласен. Должен же я иметь представление об архитектуре
и идеологии того на чём работаю. :)
Цитата:
Периодически я прямо в форуме раскладывал "на пальцах", как ПЛИС превратить в процессор. Готовые решения брать вобщем-то не следует, даже в качестве примера. Все выращивается с нуля, при этом появляется навык изготовления этого самостоятельно.

Граблей и так хватит. :( Я начинал с EP8282. Это 282 тригера.
И был в восторге от связки с 51-м процом (диспетчер ОЗУ+ПЗУ, страницы). :)
Потом отслеживал развитие 51-го ядра для 10K10 и млел видя как от крутится на 70 мегах.
Потом пришли AVR-ы с их самодостаточными и дешёвыми ядрами.

А теперь можно получить приемлемые ресурсы в ПЛИС по разумной цене.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Чт окт 15, 2009 05:42 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Sotnik писал(а):
А что скажешь про Altery


Про Альтеру можно смотреть на www.altera.ru (там же и реальный для России прайс-лист на нее).
Вот оттуда чипы с QFP144 корпусом:
EP3C5E144C7 19,32$
EP3C10E144C8 24,19$
EP3C16E144C8 33,60$
EP3C16E144C7 40,32$
EP3C25E144C8 49,73$
Но я бы взял сразу корпус QFP240 (по сложности пайки/разводки почти то же самое, что и QFP144, но пользовательских ножек больше):
EP3C16Q240C8 36,71$
EP3C25Q240C8 53,76$
EP3C40Q240C8 98,95$

Плату разводить надо под EP3C40Q240C8 с учетом возможности отката до EP3C25Q240C8 или EP3C16Q240C8 (они дешевле, но меньше по логическому объему).

п.с. А в связи с собственным интересом к подобной плате - я мог бы взяться и за ее разводку (опыт работы в OrCAD-е и с альтерами - есть).

Вот, кстати, один из проектов, который пока приостановлен, но можно и восстановить http://fforum.winglion.ru/viewtopic.php?t=1147

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Чт окт 15, 2009 17:21 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
WingLion писал(а):
EP3C16Q240C8 36,71$
EP3C25Q240C8 53,76$
EP3C40Q240C8 98,95$

Посмотрел на http://www.altera.ru/cgi-bin/go?117 - 240 много, но первый макетный
образец должен быть золотым. Тогда остальные получаться сбалансированными.
Какие ресурсы, примерно, получат восемь ядер на EP3C16?
Частота (много не надо, главное стабильно), переферия,
внутренняя память для каждого в общем адресном пространстве.
В каких есть внутри EEPROM? (что-то я слышал звон тут про это ) :)
Первая загрузки из внешнего EEPROM или программатора.
Ну и до этого я писал про ожидаемые чаяния. :)
Цитата:
п.с. А в связи с собственным интересом к подобной плате - я мог бы взяться и за ее разводку (опыт работы в OrCAD-е и с альтерами - есть).

Пока железяка делается - можно прикинуть расклад кристала. :)
Цитата:
Вот, кстати, один из проектов, который пока приостановлен, но можно и восстановить http://fforum.winglion.ru/viewtopic.php?t=1147

Самодостаточен. :) Фактически он только и начинается.

С учётом новшеств в комплектации и веяний многозадачных.
И главное - заказной вариант БИС. Там можно ресурсов побольше.
Итоговая цена штучки при 10K партии.
У меня есть идея и мне нужен проц. Я столько собираюсь освоить в 2010г.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Чт окт 15, 2009 18:28 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Sotnik писал(а):
Посмотрел на http://www.altera.ru/cgi-bin/go?117 - 240 много,


Много выводов у ПЛИС - не бывает. Это аксиома, выведенная из опыта работы с ПЛИС (13 лет).

Sotnik писал(а):
Какие ресурсы, примерно, получат восемь ядер на EP3C16?


формально, по 2K логических ячеек на ядро. Реально, Если процессорные ядра упаковывать немного не так, как это видится в лоб, можно это соотношение изменить.
Ядро (если брать то, с которым я работаю сейчас) занимает 700 логических ячеек. Некоторым его изменением (добавлением ~300 ячеек) этот одноядерный процессор
можно превратить в трехядерный, без уменьшения рабочей тактовой частоты (сейчас она 60MHz), а дальше их можно ставить рядышком друг с другом, сколько влезет.
Если надо именно 8, ставится три по три, получается девять. Одно ядро в резерве.


Sotnik писал(а):
внутренняя память для каждого в общем адресном пространстве.

Внутренняя память для каждого строенного ядра - общая, для трех троек - память либо раздельная, либо с общим доступом, но с разделением по времени.

Если нужна обязательно общая память для всех, то надо изворачиваться, чтобы 8-портовую память организовывать.


Sotnik писал(а):
В каких есть внутри EEPROM? (что-то я слышал звон тут про это )


ПЛИС с EEPROM (aльтеровские) - это серия MAX-II, но в них EEPROM очень маленькая и медленная. Проще снаружи микросхему поставить.
Например K9F02G08 - флэш на 2 гигабита (256 Mегабайт). Стоит она всего 500р.

Sotnik писал(а):
Первая загрузки из внешнего EEPROM или программатора.


Из внешнего EEPROM. Можно использовать ту же флешку, если рядом поставить маленькую MAX-II, которая и будет управлять загрузкой.
Можно например в флешку записать множество конфигураций и грузить нужную в нужный момент.

При разработке прошивок загрузка через JTAG разумеется.

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Чт окт 15, 2009 19:53 
Не в сети

Зарегистрирован: Пн окт 05, 2009 18:21
Сообщения: 405
Откуда: Минск SotnikSTO@mail.ru
Благодарил (а): 48 раз.
Поблагодарили: 3 раз.
Цитата:
Sotnik писал(а):
Посмотрел на http://www.altera.ru/cgi-bin/go?117 - 240 много,
Много выводов у ПЛИС - не бывает. Это аксиома, выведенная из опыта работы с ПЛИС (13 лет).
Аксимома - это когда ты не знаешь что получится. :)
А мне нужен голый проц (стабилизатор, загрузчик, генератор, MMC) и полсотни I/O. Это всё.
Естественно драйвы на RS485 2 шт и 232 1 шт. Может ещё что-то мелкое добавится типа светодиодов и пищалок.
Цитата:
Sotnik писал(а):
внутренняя память для каждого в общем адресном пространстве.

Внутренняя память для каждого строенного ядра - общая, для трех троек - память либо раздельная, либо с общим доступом, но с разделением по времени. Если нужна обязательно общая память для всех, то надо изворачиваться, чтобы 8-портовую память организовывать.
Общяя память не вынуждает применять какие либо крутые семафоры. Условно используй флаги в ОЗУ - и всё. А про мультиплексоры - так он (САПР) железный, пусть думает. Мы за предельным быстродействием не гонимся. Пока. :)
Цитата:
Sotnik писал(а):
В каких есть внутри EEPROM? (что-то я слышал звон тут про это )
ПЛИС с EEPROM (aльтеровские) - это серия MAX-II, но в них EEPROM очень маленькая и медленная.
Это мне известно. Я не про то. Тут (на форумах) шла речь про загрузчик, который расположен на кристалле новых ПЛИС, исключив внешнее перехватывание по маршруту загрузчик -> ПЛИС. Первая загрузки из внешнего EEPROM или программатора. А далее только со встроенным.
Цитата:
Можно например в флешку записать множество конфигураций и грузить нужную в нужный момент.
Я для этого MMC хочу поставить. И организовать с ним аппаратную работу сегментами по 64К и страницами. Это как сегментация в винде. (не вздрагивай) ;) Я уже про такое писал:
Цитата:
SPI для карточки MMC - внешняя долговременная память, аппаратная сегментная линейная память?
С гигабайтом, например, работать как с внутренним EEPROM.
Слово WRE или RDE - читать/писать, адрес сегмента в R1, адрес в сегменте R2, R3 - слово получить/записать. RDE ( R1 R2 --> R3 ) и, естественно, некое прерывание по готовности.


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
Показать сообщения за:  Поле сортировки  
Начать новую тему Ответить на тему  [ Сообщений: 93 ]  На страницу Пред.  1, 2, 3, 4, 5, 6, 7  След.

Часовой пояс: UTC + 3 часа [ Летнее время ]


Кто сейчас на конференции

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 11


Вы не можете начинать темы
Вы можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
phpBB сборка от FladeX // Русская поддержка phpBB