Forth и другие саморасширяющиеся системы программирования Locations of visitors to this page
Текущее время: Чт мар 28, 2024 20:01

...
Google Search
Forth-FAQ Spy Grafic

Часовой пояс: UTC + 3 часа [ Летнее время ]




Начать новую тему Ответить на тему  [ Сообщений: 5 ] 
Автор Сообщение
 Заголовок сообщения: [VHDL vs AHDL] на примере 4-хбитного процессора
СообщениеДобавлено: Вт фев 10, 2009 03:45 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
итак, схему 4-хбитного процессора на VHDL я доделал (но еще не оттестировал)

Схема получилась другая, ибо мысль на месте не топчется, а движется вперед, но заложенная функциональность процессора та же самая.

Изменения коснулись способа отработки команд @ и !, для которых в VHDL-ном варианте не занимаются лишние КОП-ы, в результате, появились две резервных команды.

Результат для 16 бит процессора, с глубиной стеков 8 таков:

для EP2C20F484C7
1. VHDL занятый объем -- 626LE (343 тригера), 2 встроенных умножителя 9x9 бит, 53 вывода. Частота 118MHz.

2. AHDL занятый объем -- 816LE (310 тригеров), 2 встроенных умножителя 9x9 бит, 53 вывода. Частота 97MHz.

код VHDL не привожу, пока он не оттестирован, а то еще, может быть, там глюка кучов...

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Сб фев 14, 2009 22:07 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Кажется я понял, почему с VHDL смешанное проектирование (HDL + Shematic) лучше, чем чисто HDL...

Потому что использование иерархии в VHDL затруднено необходимостью впихивать кучу "лишнего" текста,
подобие которого в AHDL, например, создается автоматом и в AHDL это впихивание заменяется одной строчкой с include в начале исходника.

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Пн июн 22, 2009 19:12 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
WingLion писал(а):
код VHDL не привожу, пока он не оттестирован, а то еще, может быть, там глюка кучов...


Данный код ушел в корзину, ибо даже близко не стоял рядом с AHDL-ным по работоспособности...

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Вс сен 27, 2009 00:19 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
Что-то все-таки есть в VHDL такое, чего нет в AHDL...

Ковырял сегодня свой проц на VHDL...
Кажется, заставил работать... (не все еще проверил, поэтому не утверждаю окончательно)
Что-то получается...

Завтра буду его гонять на симуляторе и, надеюсь, он заработает окончательно, а тогда можно будет и код выложить.

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
 Заголовок сообщения:
СообщениеДобавлено: Чт окт 15, 2009 06:47 
Не в сети
Administrator
Administrator
Аватара пользователя

Зарегистрирован: Вт май 02, 2006 13:19
Сообщения: 3565
Откуда: St.Petersburg
Благодарил (а): 4 раз.
Поблагодарили: 72 раз.
тема не померла, а просто переехала сюда: [VHDL] И снова форт-процессор (все тот же самый)

_________________
С уважением, WingLion
Forth-CPU . RuF09WE
Мой Форт
Отсутствие бана это не заслуга юзера, а недоработка модератора (с)


Вернуться к началу
 Профиль Отправить личное сообщение  
Ответить с цитатой  
Показать сообщения за:  Поле сортировки  
Начать новую тему Ответить на тему  [ Сообщений: 5 ] 

Часовой пояс: UTC + 3 часа [ Летнее время ]


Кто сейчас на конференции

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 9


Вы не можете начинать темы
Вы можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

cron
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
phpBB сборка от FladeX // Русская поддержка phpBB