Forth и другие саморасширяющиеся системы программирования Locations of visitors to this page
Текущее время: Чт мар 28, 2024 17:48

...
Google Search
Forth-FAQ Spy Grafic

Часовой пояс: UTC + 3 часа [ Летнее время ]




Ответить
Имя пользователя:
Заголовок:
Текст сообщения:
Введите текст вашего сообщения. Длина сообщения в символах не более: 60000

Размер шрифта:
Цвет шрифта
Настройки:
BBCode ВКЛЮЧЕН
[img] ВЫКЛЮЧЕН
[flash] ВЫКЛЮЧЕН
[url] ВКЛЮЧЕН
Смайлики ВЫКЛЮЧЕНЫ
Отключить в этом сообщении BBCode
Не преобразовывать адреса URL в ссылки
Вопрос
Теперь гостю придется вводить здесь пароль. Не от своей учетной записи, а ПАРОЛЬ ДЛЯ ГОСТЯ, получить который можно после регистрации на форуме через ЛС.:
Этот вопрос предназначен для выявления и предотвращения автоматических регистраций.
   

Обзор темы - Xilinx Spartan KIT SP601
Автор Сообщение
  Заголовок сообщения:  Re: Xilinx Spartan KIT SP601  Ответить с цитатой
WingLion писал(а):
На первой странице пдф-а изображено окошко после запуска корегенератора.
Наверно, это от более старой версии. В ISE 12.1 окошко выглядит иначе.

Это была 12.3
WingLion писал(а):
Как-то долго оно генерит. Так и должно быть?

Вообще да, оно на tcl написано, поэтому неторопливое. Тем более если есть файлик с инициализацией.
WingLion писал(а):
Кстати, сгенерированный блок куда-то запропастился
Не явился ни в списке файлов, ни в списке символьных элементов...
короче, был таков...

Да, бывают глюки. Требуется перегенерация.
WingLion писал(а):
И еще вопросик. Как удалить неудачно созданный символ?

Delete. Если не хочет, можно вызвать правой кнопкой контекстное меню (на нужном компоненте), и там есть пункт Remove.
Сообщение Добавлено: Вс ноя 21, 2010 17:48
  Заголовок сообщения:  Re: Xilinx Spartan KIT SP601  Ответить с цитатой
Кстати, сгенерированный блок куда-то запропастился :(
Не явился ни в списке файлов, ни в списке символьных элементов...
короче, был таков...
Не помогла и перезагрузка ISE, хотя, с символом из своего VHDL-исходника и перезагружать ISE не потребовалось - он появился в списке символов сразу.

И еще вопросик. Как удалить неудачно созданный символ?
А то он мне создал символ из пустого файла (выглядит он как линия, подписанная названием символа) и теперь каждый раз ругается на него при компиляции всего проекта, хотя в схему его даже не вставлял.
Сообщение Добавлено: Вс ноя 21, 2010 11:56
  Заголовок сообщения:  Re: Xilinx Spartan KIT SP601  Ответить с цитатой
Хищник писал(а):
http://www.msyst.ru/ISE_bramgen.pdf


ма-ленькая поправочка...

На первой странице пдф-а изображено окошко после запуска корегенератора.
Наверно, это от более старой версии. В ISE 12.1 окошко выглядит иначе.
Слева такой же выбор IP, а справа типа описание. И, чтобы запустить дальше, кнопки "Next"-то и нету.
Запустилось двойным кликом на выбранном IP. А дальше все как описано.

Как-то долго оно генерит. Так и должно быть? Уже и пост написал, и по форуму прошелся, а оно еще только на 22%...
ждемс...
53%...
блок-то 4Kx16 всего лишь...
о...
закончилось...
и напугало окошком с кучей сообщений, без ярко выделенного "ОК"...
нефортово как-то ;)
Сообщение Добавлено: Вс ноя 21, 2010 11:22
  Заголовок сообщения:  Re: Xilinx Spartan KIT SP601  Ответить с цитатой
WingLion писал(а):
VHDL файлы компилить-то я научился,
а вот как делать из исходника на VHDL символьный
элемент для размещения на схеме - не нашел.

Процесс Design Utilities -> Create Schematic Symbol. Полученный компонент окажется в группе с тем же именем, что и папка проекта. Если его там нет, схему надо закрыть и заново открыть - это такое поведение ISE, там с обновлением списка проблемка.
Сообщение Добавлено: Вс ноя 21, 2010 01:50
  Заголовок сообщения:  Re: Xilinx Spartan KIT SP601  Ответить с цитатой
Еще один вопросец по ISE назрел.

VHDL файлы компилить-то я научился,
а вот как делать из исходника на VHDL символьный
элемент для размещения на схеме - не нашел.
Сообщение Добавлено: Сб ноя 20, 2010 21:55
  Заголовок сообщения:  Re: Xilinx Spartan KIT SP601  Ответить с цитатой
VHDL описание схемы для прошивки в EPM3032ATC44-7:

Код:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY VGA_PS2 IS
PORT(
   CLK : in std_logic;
   IO : in std_logic_vector (7 downto 1);
   R,G,B : out std_logic_vector (3 downto 0);
   VGA_SH,VGA_SV :out std_logic;
   PS2_CLK,PS2_DAT : in std_logic
);
END VGA_PS2;

ARCHITECTURE RTL OF VGA_PS2 IS

attribute chip_pin: string;
attribute chip_pin of clk: signal is "14";
attribute chip_pin of IO: signal is "3,5,6,8,10,12,13";
attribute chip_pin of VGA_SH: signal is "15";
attribute chip_pin of VGA_SV: signal is "18";
attribute chip_pin of B: signal is "19,20,21,22";
attribute chip_pin of G: signal is "28,27,25,23";
attribute chip_pin of R: signal is "35,34,33,31";
attribute chip_pin of PS2_CLK: signal is "42";
attribute chip_pin of PS2_DAT: signal is "43";
   
   signal DR : std_logic_vector (7 downto 1);
   signal DDR : std_logic_vector (14 downto 1);
BEGIN

   process (clk) begin
      if clk'event and clk = '0' then
         DR <= IO;
      end if;
      if clk'event and clk = '1' then
         DDR <= IO&DR;
      end if;
   end process;
   
   R <= DDR (4 downto 1);
   G <= DDR (8 downto 5);
   B <= DDR (12 downto 9);
   VGA_SH <= DDR(13);
   VGA_SV <= DDR(14);

END ARCHITECTURE;


Клавиатурный вход пока не задействован. Сделан только VGA-выход...
В описании заданы альтеровские атрибуты с назначением выводов ПЛИС.
Схема, разумеется, не проверена, т.к. готовой платки еще нет.
Сообщение Добавлено: Пн ноя 15, 2010 20:56
  Заголовок сообщения:  Re: Xilinx Spartan KIT SP601  Ответить с цитатой
Последняя версия схемы платки VGA_PS2 + монтажная схема.
Список комплектации в табличке на схеме.


Вложения:
Комментарий к файлу: Последняя версия схемы платки VGA_PS2 + монтажная схема.
vga_ps2_doc.pdf [25.55 Кб]
Скачиваний: 1131
Сообщение Добавлено: Пн ноя 15, 2010 20:10
  Заголовок сообщения:  Re: Xilinx Spartan KIT SP601  Ответить с цитатой
Sotnik писал(а):
Запихни туда и DC на LM2731.


Вроде, высылал файлы уже с разведенной этой частью схемы.

Сейчас, на всякий случай, перезашлю.

-- через 10 мин --

перезаслал

p.s. письмо ушло с адреса ivan_mak@mail.ru
Сообщение Добавлено: Вт ноя 09, 2010 05:26
  Заголовок сообщения:  Re: Xilinx Spartan KIT SP601  Ответить с цитатой
WingLion писал(а):
Sotnik писал(а):
Скинь мне в гербере. Попробую с первого числа сделать.

Гербер-файлы (RS274D) улетели на e-mail.


Сегодня добрался до интернета, катался по России.
Всё получил и всё открылось. CAM 350 v9.1
Только понедельником я ошибся. Извиняюсь... :(
Так что до конца месяца точно сделаю вторым заходом.
До 22 можешь вносить изменения.
Запихни туда и DC на LM2731. :)

Делаю восемь-десять заготовок. Твоих плат столько и будет.
Сообщение Добавлено: Вт ноя 09, 2010 01:30
  Заголовок сообщения:  Re: Xilinx Spartan KIT SP601  Ответить с цитатой
WingLion писал(а):
p.s. A теперь вопрос на засыпку, можно ли так же на VHDL описать и PLL?

http://www.msyst.ru/ISE_clkvhdl.pdf
Сообщение Добавлено: Вс окт 31, 2010 11:58
  Заголовок сообщения:  Re: Xilinx Spartan KIT SP601  Ответить с цитатой
Хищник писал(а):
http://www.msyst.ru/ISE_bramvhdl.pdf


Сейчас попробовал это vhdl-описание памяти в Quartus II.
vhdl-код квартус принял и не подавился.
Нормально отчитался, что занял 8k именно встроенной памяти.

НО! Quartus не чихнул даже, когда файла bram1.dat не было в папке с vhdl, и ничего не изменилось, когда я его создал (ручками).

Согласно симуляции, память, как была девственно чиста, так и осталась :(

Симуляция записи сработала нормально, и записанные данные считываются нормально,
а начального контента в памяти нет.

Попробовал закоментировать цикл с чтением данных из памяти и на его место вставил
строчки:
Код:
   ram_to_return(1) := "10000001";
   ram_to_return(2) := "10000010";
   ram_to_return(3) := "10000011";


в таком виде инициализация для 3-х ячеек проходит как надо.
Вот, и непонятно, что этому Q-тенку надо?

В ISE ни компилировать, ни симулировать не пробовал. В квартусе пока как-то привычнее.

Пойду читать хелпы квартуса по vhdl...

p.s. A теперь вопрос на засыпку, можно ли так же на VHDL описать и PLL?
Сообщение Добавлено: Вс окт 31, 2010 08:59
  Заголовок сообщения:  Re: Xilinx Spartan KIT SP601  Ответить с цитатой
http://www.msyst.ru/ISE_bramsim.pdf
Уфф. :) Пока все.
Сообщение Добавлено: Сб окт 30, 2010 23:51
  Заголовок сообщения:  Re: Xilinx Spartan KIT SP601  Ответить с цитатой
http://www.msyst.ru/ISE_bramvhdl.pdf
Сообщение Добавлено: Сб окт 30, 2010 23:31
  Заголовок сообщения:  Re: Xilinx Spartan KIT SP601  Ответить с цитатой
http://www.msyst.ru/ISE_bramgen.pdf
Сообщение Добавлено: Сб окт 30, 2010 18:05
  Заголовок сообщения:  Re: Xilinx Spartan KIT SP601  Ответить с цитатой
WingLion писал(а):
вопрос писал(а):
А способа создать утилитку, которая вписывала бы нужные события в исходник (в любом объёме) нельзя? Или я что-то неправильно понимаю?


Этой улитке потребуется, как минимум, ИИ для того, чтобы разбираться, в какое место исходника вписывать данные. Учитывая, что память может использоваться не один раз, не в одной и той же конфигурации (одно-двух-трехпортовая и т.п.), написание такой утилитки становится малореально.

А приблизительный алгоритм?
Может, не всё так грустно? МОжно оставлять в исходнике метки для утилитки (внутри комментариев), и некоторые простые случаи могут не требовать ИИ, это уже экономия
Сообщение Добавлено: Пт окт 29, 2010 08:27

Часовой пояс: UTC + 3 часа [ Летнее время ]


Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
phpBB сборка от FladeX // Русская поддержка phpBB