Forth и другие саморасширяющиеся системы программирования Locations of visitors to this page
Текущее время: Чт мар 28, 2024 21:54

...
Google Search
Forth-FAQ Spy Grafic

Часовой пояс: UTC + 3 часа [ Летнее время ]




Ответить
Имя пользователя:
Заголовок:
Текст сообщения:
Введите текст вашего сообщения. Длина сообщения в символах не более: 60000

Размер шрифта:
Цвет шрифта
Настройки:
BBCode ВКЛЮЧЕН
[img] ВЫКЛЮЧЕН
[flash] ВЫКЛЮЧЕН
[url] ВКЛЮЧЕН
Смайлики ВЫКЛЮЧЕНЫ
Отключить в этом сообщении BBCode
Не преобразовывать адреса URL в ссылки
Вопрос
Теперь гостю придется вводить здесь пароль. Не от своей учетной записи, а ПАРОЛЬ ДЛЯ ГОСТЯ, получить который можно после регистрации на форуме через ЛС.:
Этот вопрос предназначен для выявления и предотвращения автоматических регистраций.
   

Обзор темы - Data Flow Processor
Автор Сообщение
  Заголовок сообщения:   Ответить с цитатой
Kopa писал(а):
Этот посыл мене? Этот проект попался при другом поиске в i-net.
А есть ли полезная или нет в нём информация это и хотелось выяснить.
Похоже нет

Это каждый решает сам. Я уже озвучивал свою позицию - я готов оценивать информацию по FPGA с точки зрения своей компетенции. Но своей, а не "усредненной по больнице". Если кому-то моя оценка отдавила любимую мозоль и обломала кайф от обсасывания слова "Форт" на мониторе - это его личные проблемы.

Kopa писал(а):
P.S. Почему многие форумные топики заканчиваются бесполезным репликами -
доказать свою самую "правую правоту"

А мне вот тоже непонятно, почему форум должен восприниматься как деревенская завалинка, куда можно вечерком выйти посудачить.
Сообщение Добавлено: Чт янв 28, 2010 23:53
  Заголовок сообщения:   Ответить с цитатой
Хищник писал(а):
Если человек хочет чего-то сделать в жизни, он будет стараться, а похвала придет сама. А если хочется выделить от щедрот пять минут на ковыряние в инете, а потом ждать восторгов, то это чьи проблемы? Будем надеяться на эффективные результаты от последовательной и целенаправленной работы по повышению квалификации ;)


Этот посыл мене? Этот проект попался при другом поиске в i-net.
А есть ли полезная или нет в нём информация это и хотелось выяснить.
Похоже нет:)

P.S. Почему многие форумные топики заканчиваются бесполезным репликами -
доказать свою самую "правую правоту"
Сообщение Добавлено: Чт янв 28, 2010 21:08
  Заголовок сообщения:   Ответить с цитатой
mOleg писал(а):
пора банить?

Бан - это выбрасывание проблемы за околицу. При этом она никуда не девается. Если человек хочет чего-то сделать в жизни, он будет стараться, а похвала придет сама. А если хочется выделить от щедрот пять минут на ковыряние в инете, а потом ждать восторгов, то это чьи проблемы? Будем надеяться на эффективные результаты от последовательной и целенаправленной работы по повышению квалификации ;)
Сообщение Добавлено: Чт янв 28, 2010 20:23
  Заголовок сообщения:   Ответить с цитатой
Хищник писал(а):
Вооот, уже интересно, глупые наезды пошли.

пора банить? :)) :))
Сообщение Добавлено: Чт янв 28, 2010 20:12
  Заголовок сообщения:   Ответить с цитатой
вопрос писал(а):
Я не подвергаю сомнению компетентность Хищника, но есть ли проекты, которые похвалил?

Как правило, это проекты, над которыми люди долго работали и выгребали массу явных и неявных проблем. А за розовыми соплями по поводу Форта - это не ко мне.
dynamic-wind писал(а):
Свои уже похвалил, чего же боле?

Вооот, уже интересно, глупые наезды пошли. :)
Сообщение Добавлено: Чт янв 28, 2010 20:11
  Заголовок сообщения:   Ответить с цитатой
вопрос писал(а):
Я не подвергаю сомнению компетентность Хищника, но есть ли проекты, которые похвалил?

Свои уже похвалил, чего же боле?
Сообщение Добавлено: Чт янв 28, 2010 20:05
  Заголовок сообщения:   Ответить с цитатой
Хищник писал(а):
Я бы сказал, вполне заурядная разработка. Рядовая с точки зрения использования FPGA, и к тому же не предлагающая какого-то конкретного пути непосредственно сейчас. Опять "вы можете дописать что угодно, так вот пойдите и допишите". Диаграммы со стрелочками меня вообще в таких описаниях убивают. Ни временных диаграмм, ни описания интерфейса модулей - как понять, что там за порядок работы? В описании скромно написано "все параллельно" - упасть и не встать. А латентность? Да собственно, вот код, который аналогичен такому проекту.

Код:
newreg1 <= ...
newreg2 <= ...
newreg3 <= ...
newreg4 <= ...

process(clk)
begin
  if clk'event and clk = '1' then
    reg1 <= newreg1;
    reg2 <= newreg2;
    reg3 <= newreg3;
    reg4 <= newreg4;
  end if;
end process;


Нормальная синхронная схема. Правда, можно задать вопрос, а как же формировать newreg1...4, но мы на это скромно промолчим :))

Я не подвергаю сомнению компетентность Хищника, но есть ли проекты, которые похвалил?
Сообщение Добавлено: Чт янв 28, 2010 18:26
  Заголовок сообщения:   Ответить с цитатой
Я бы сказал, вполне заурядная разработка. Рядовая с точки зрения использования FPGA, и к тому же не предлагающая какого-то конкретного пути непосредственно сейчас. Опять "вы можете дописать что угодно, так вот пойдите и допишите". Диаграммы со стрелочками меня вообще в таких описаниях убивают. Ни временных диаграмм, ни описания интерфейса модулей - как понять, что там за порядок работы? В описании скромно написано "все параллельно" - упасть и не встать. А латентность? Да собственно, вот код, который аналогичен такому проекту.

Код:
newreg1 <= ...
newreg2 <= ...
newreg3 <= ...
newreg4 <= ...

process(clk)
begin
  if clk'event and clk = '1' then
    reg1 <= newreg1;
    reg2 <= newreg2;
    reg3 <= newreg3;
    reg4 <= newreg4;
  end if;
end process;


Нормальная синхронная схема. Правда, можно задать вопрос, а как же формировать newreg1...4, но мы на это скромно промолчим :))
Сообщение Добавлено: Чт янв 28, 2010 13:30
  Заголовок сообщения:  Data Flow Processor  Ответить с цитатой
Было ли обсуждение данного проекта? ( возможно интересная разработка)
DFP
Rob Chapman \ update Dec 20 2009
[code]
The data flow processor (DFP) is a flexible microprocessor written in VHDL which you can program down to the gate level to optimize your entire design. It is composed of 7 components with a specific data flow architecture. In most cases you just provide a VHDL file containing the program you want the processor to run, compile the whole design and then download it to an FPGA. For more specific designs, the code for the components can be altered to suit your needs. The components are:

TR - top register - top-most register for manipulating data or data flow
FU - function unit - provides functions to operate on data with TR and DS
DS - data stack - for holding intermediate data values
ME - memory - holds data, program and memory mapped I/O
PC - program counter - current address of program in memory
RS - return stack - holds values from PC for program nesting and return
IR - instruction register - runs instruction sequences from memory
...
[/url]

P.S. For the Windows platform, there is a newer compile of the Timbre tool used for creating VHDL code
Сообщение Добавлено: Ср янв 27, 2010 20:56

Часовой пояс: UTC + 3 часа [ Летнее время ]


cron
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
phpBB сборка от FladeX // Русская поддержка phpBB