Forth и другие саморасширяющиеся системы программирования Locations of visitors to this page
Текущее время: Чт мар 28, 2024 14:55

...
Google Search
Forth-FAQ Spy Grafic

Часовой пояс: UTC + 3 часа [ Летнее время ]




Ответить
Имя пользователя:
Заголовок:
Текст сообщения:
Введите текст вашего сообщения. Длина сообщения в символах не более: 60000

Размер шрифта:
Цвет шрифта
Настройки:
BBCode ВКЛЮЧЕН
[img] ВЫКЛЮЧЕН
[flash] ВЫКЛЮЧЕН
[url] ВКЛЮЧЕН
Смайлики ВЫКЛЮЧЕНЫ
Отключить в этом сообщении BBCode
Не преобразовывать адреса URL в ссылки
Вопрос
Теперь гостю придется вводить здесь пароль. Не от своей учетной записи, а ПАРОЛЬ ДЛЯ ГОСТЯ, получить который можно после регистрации на форуме через ЛС.:
Этот вопрос предназначен для выявления и предотвращения автоматических регистраций.
   

Обзор темы - Forth -> VHDL
Автор Сообщение
  Заголовок сообщения:  Re: Forth -> VHDL  Ответить с цитатой
Для этого подходит Zynq-7000. http://zedboard.org Но разрабатывать проект для ПЛИС можно только на PC.
Сообщение Добавлено: Пн июн 02, 2014 01:14
  Заголовок сообщения:  Re: Forth -> VHDL  Ответить с цитатой
Хищник писал(а):
Но зачем? ПЛИС не предназначены для конкурирования с домашними системами массового использования.
А никто и не заставляет конкурировать.
Идеальный вариант - компактное место для экспериментов с дизайном процессоров и ОС для них. Чтоб в одной(ну или в разных но компактных) системе была возможность работать с интернет, среда разработки(с достаточно мощной графикой) и возможность проверить результат. Ну и возможность результата работать автономно.
Сообщение Добавлено: Пн июн 02, 2014 01:08
  Заголовок сообщения:  Re: Forth -> VHDL  Ответить с цитатой
in4 писал(а):
если до $700, но как универсальный компьютер с ускорителем(подключить клавиатуру, мышь, монитор, колонки/наушники, микрофон, вебкамеру, WiFi, флешки, (e)SATA)

http://xillybus.com/xillinux
Но зачем? ПЛИС не предназначены для конкурирования с домашними системами массового использования. На дешевых платах можно легко получить эквивалент 10 ГГц процессора (вообще ПЛИС добираются до единиц тера-...), но для этого алгоритм должен быть параллельным. Как только параллельный алгоритм становится устоявшимся и массово востребованным, он получает реализацию в виде ASIC/ASSP. Видео - очевидно востребованная задача, поэтому видеоускоритель будет заведомо дешевле ПЛИС с сопоставимой производительностью. Если для ПЛИС нет подходящей (оригинальной по алгоритму и при этом параллельной) задачи, то она и не покажет преимуществ. Кроме параллельности, впрочем, может быть еще реакция в реальном времени (причем порядка десятков наносекунд) или большое количество выводов, не вмещающихся в стандартные интерфейсы PC.
Сообщение Добавлено: Пн июн 02, 2014 00:39
  Заголовок сообщения:  Re: Forth -> VHDL  Ответить с цитатой
А в каких случаях есть преимущество по параметру кол-во-операций/цена у аппаратной реализации алгоритма на FPGA по сравнению с программами на универсальном процессоре (x86, iCore) ?
  • в ценовом диапазоне до $500 за систему(подключил к питанию, Ethernet/вставил в компьютер и работаешь)
  • если до $700, но как универсальный компьютер с ускорителем(подключить клавиатуру, мышь, монитор, колонки/наушники, микрофон, вебкамеру, WiFi, флешки, (e)SATA)
    • то же, + видео x264 1920x1080p
    • там еще ресурсы хоть останутся?
  • если алгоритм не очень распараллеливается (мало параллельных ветвей алгоритма)
  • если алгоритм распараллеливается (много параллельных ветвей алгоритма)

К чему такие вопросы? - Хочу определиться, стОит ли овчинка выделки для бюджетных(или домашних) систем и в каких случаях использование FPGA дает больший выигрыш.
Сообщение Добавлено: Пн июн 02, 2014 00:18
  Заголовок сообщения:  Re: Forth -> VHDL  Ответить с цитатой
:< Господа, давайте уже тему не засорять тему. Для разборок есть отдельные топики.
Сообщение Добавлено: Вс июн 01, 2014 15:55
  Заголовок сообщения:  Re: Forth -> VHDL  Ответить с цитатой
Хищник писал(а):
Я так понимаю, что Вы лучше их разбираетесь в вопросе?
Я не про "вопрос" *), а про вынужденность "индустриальных решений".

*) - честно говоря, не понял, что Вы имеете в виду. Вопрос моделирования? Или перевода с одного языка на другой? Или повышения Форум-имиджа? Или общей пиписькометрии? Или еще что-то?
Исходный-то "постфиксный" вопрос к трудам уважаемых академиков явно отношения не имеет.
Сообщение Добавлено: Ср май 28, 2014 21:20
  Заголовок сообщения:  Re: Forth -> VHDL  Ответить с цитатой
gudleifr писал(а):
Ну, кто на что учился...

Из тех продуктов, с которыми я хорошо знаком, один разработан в Оксфорде, другой - в Беркли. С которым не работал - мировой лидер в области САПР Mentor Graphics. Еще есть российская разработка подобного плана, выполненная в коллективе академика Каляева. Я так понимаю, что Вы лучше их разбираетесь в вопросе? :)
Сообщение Добавлено: Ср май 28, 2014 20:46
  Заголовок сообщения:  Re: Forth -> VHDL  Ответить с цитатой
Хищник писал(а):
другого-то подхода в индустрии нет, а то, что есть, находится в состоянии "недалеко ушли от нуля"...
Ну, кто на что учился...
Цитата:
Сашка Привалов не виноват, виноват Хунта, который последнее время из принципа интересуется только такими задачами, для которых доказано отсутствие решения.
Сообщение Добавлено: Ср май 28, 2014 20:23
  Заголовок сообщения:  Re: Forth -> VHDL  Ответить с цитатой
gudleifr писал(а):
Вполне очевидно, что речь идет о максимальном общем подмножестве изоморфно преобразуемых выражений FORTH и VHDL. Т.е. вы не сможете написать на FORTH ничего, что не имеет очевидного VHDL-эквивалента (например, выше, счетчик - "DUP @ DUP 1+ ROT !"), и не сможете придумать FORTH-записи для чего-то ориентированного на VHDL (см. выше мультиплексор).
Это просто не входит в постановку задачи.

Ну, теперь еще усилие, чтобы представить, что другого-то подхода в индустрии нет, а то, что есть, находится в состоянии "недалеко ушли от нуля"...
Сообщение Добавлено: Ср май 28, 2014 20:16
  Заголовок сообщения:  Re: Forth -> VHDL  Ответить с цитатой
dynamic-wind писал(а):
Таки неясно...
Да все ясно, перестаньте... Вполне очевидно, что речь идет о максимальном общем подмножестве изоморфно преобразуемых выражений FORTH и VHDL. Т.е. вы не сможете написать на FORTH ничего, что не имеет очевидного VHDL-эквивалента (например, выше, счетчик - "DUP @ DUP 1+ ROT !"), и не сможете придумать FORTH-записи для чего-то ориентированного на VHDL (см. выше мультиплексор).
Это просто не входит в постановку задачи.
Сообщение Добавлено: Ср май 28, 2014 19:32
  Заголовок сообщения:  Re: Forth -> VHDL  Ответить с цитатой
Хищник писал(а):
Поэтому язык для синтеза должен поддерживать основные конструкции, характерные для Форта,

Таки неясно, должен ли фортоподобный язык для синтеза поддерживать что-то вроде
Код:
A CELL + DUP @ 3 + 3 NOT AND @ !
Сообщение Добавлено: Ср май 28, 2014 18:58
  Заголовок сообщения:  Re: Forth -> VHDL  Ответить с цитатой
gudleifr писал(а):
Это что, повод считать имитацию перевода из "постфиксной" в "инфиксную" чем-то полезным?

Да.
Сообщение Добавлено: Ср май 28, 2014 00:28
  Заголовок сообщения:  Re: Forth -> VHDL  Ответить с цитатой
Хищник писал(а):
Так... ликбез, чувствую, все-таки нужен.
А какое отношение эти отмазки имеют к вышеизложенному?
Ну, хочется Вам ускорить моделирование. Ну, сильно хочется...
Это что, повод считать имитацию перевода из "постфиксной" в "инфиксную" чем-то полезным?
Пропасть между FORTH и VHDL от этого меньше не стала.
Привести логику чего-то к выражениям типа "A @ B @ +" гораздо сложнее, чем к "Net1 + Net2".
Что выиграете на FORTH-вычислении, то вдесятеро проиграете на FORTH-программировании.
Невероятно, что FORTH-программа и VHDL-программа дадут одинаковый результат.

Для этого Ваш переводчик должен быть сложнее VHDL:

Т.е. мы имеем VHDL-систему, которая из выражения E получает результат R.
Ваш переводчик должен обеспечить перевод выражения F в выражение E, которое с большой долей вероятности даст R.
Т.е. переводчик должен быть сложнее VHDL-системы. []
Сообщение Добавлено: Ср май 28, 2014 00:12
  Заголовок сообщения:  Re: Forth -> VHDL  Ответить с цитатой
gudleifr писал(а):
А там и "@" не требуется... Ведь, если "A" слово. обозначающее сигнал, то в каком бреду привиделась потребность обозначать его "адрес"?

Так... ликбез, чувствую, все-таки нужен.

Большая проблема в проектировании электроники - ускорение моделирования. RTL сам по себе быстрее моделирования на физическом уровне, но гораздо медленнее, чем аналогичные по сути вычисления производятся на PС. Одно из направлений - системное моделирование. Создается модель на ЯВУ, с помощью которой прорабатывается алгоритм. Дальше алгоритм переносится на RTL-уровень. Вопрос: насколько адекватно? Так вот, вовсе не на 100%, поскольку Си как ЯВУ и Си-подобный язык описания аппаратуры похожи только общим стилем, но не деталями. В итоге задача сводится к созданию ЯВУ, моделируемого на PC, и при этом полностью переносимого в RTL. Поэтому язык для синтеза должен поддерживать основные конструкции, характерные для Форта, поскольку на начальном этапе разработчик будет смотреть на результаты выполнения A @ B @ + на PC, а когда ему понравится результат - выполнит все то же самое в контексте SYNTHESIZE с получением соответствующего VHDL.
Сообщение Добавлено: Вт май 27, 2014 23:40
  Заголовок сообщения:  Re: Forth -> VHDL  Ответить с цитатой
Хищник писал(а):
Можно узнать, где в выражении A @ B @ + требуется мультиплексор?
А там и "@" не требуется... Ведь, если "A" слово. обозначающее сигнал, то в каком бреду привиделась потребность обозначать его "адрес"?
(Тем более, что автор отрывка в начале темы вместо VARIABLE упорно использует QUAN, которое разыменовывать не надо).
Сообщение Добавлено: Вт май 27, 2014 23:20

Часовой пояс: UTC + 3 часа [ Летнее время ]


Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group
phpBB сборка от FladeX // Русская поддержка phpBB